Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Himbaechel xilinx : Unable to place RAM128X1S #1365

Open
marzoul opened this issue Sep 10, 2024 · 0 comments
Open

Himbaechel xilinx : Unable to place RAM128X1S #1365

marzoul opened this issue Sep 10, 2024 · 0 comments

Comments

@marzoul
Copy link
Contributor

marzoul commented Sep 10, 2024

Hi,

With a simple reproducer design involving a LUTRAM implementation of a small memory, nextpnr-himbaechel stops early with en arror about no candidate BEL to implement "macro-cell" RAM128X1S.

This only happens when Yosys synthesizes synchronous 1-port memories larger than 64 lines.
Certainly linked to the way Yosys generates the memories.

Info: Placed 1 cells based on constraints.
ERROR: Unable to place cell 'user_inst.ram.0.8.genblk1.genblk1[0].genblk1.slice', no BELs remaining to implement cell type 'RAM128X1S'

The reproducer is a small GHDL-generated design with read-write memory from PS7 ports to reduce issues with IOs :
https://cloud.univ-grenoble-alpes.fr/s/9Tm5GpWFDZar4fK

Regards,
Adrien

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

1 participant