From 2e63fa23d8ea5794585fa38243b11da135d6eb95 Mon Sep 17 00:00:00 2001 From: Mateusz Holenko Date: Sat, 4 Apr 2020 13:34:01 +0200 Subject: [PATCH] Updating submodules. MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit * litedram changed from 4cfbc71 to b06e946 * b06e946 - Merge pull request #172 from antmicro/zcu104-sodimm |\ | * 7238a9c - modules: add MTA4ATF51264HZ DDR4 SO-DIMM * | f6babda - litedram_gen: fix LiteDRAMECP5DDRPHYCRG clkin freq (input_clk_freq and not sys_clk_freq). * | 7fab898 - litedram_gen: use replace_in_file from litex, add comment on phy selection. * | d4d9ab7 - litedram_gen/lattice: use trellis toolchain and LFE5UM5G-45F device for now. |/ * 6951428 - test/test_fifo: minor cleanup. * 0ee9d7d - test/test_ecc: review and cleanup. * 265e79f - test/gen_config: review/cleanup. * 2bb8f8f - test/gen_access_pattern: cleanup. * 72d2bbf - test/benchmarck: cleanup. * 0cbdbf1 - test/run_benchmarks: avoid relative imports as done on others tests. * 24c075e - Merge pull request #171 from antmicro/jboc/unit-tests-fifo |\ | * 4fd6dc0 - test: split test_fifo_ctrl into 2 separate tests | * 5d5bff3 - test: add frontend.fifo tests | * 72b91a8 - test: add timeout_generator * | 5919627 - Merge pull request #170 from antmicro/jboc/unit-tests |\ \ | * | c39a6bd - test: use @unittest.skip instead of commenting out code | * | 0afacba - test: replace ConverterDUT.write_* with .write | * | 7f36717 - test: add LiteDRAMNativePortCDC tests | * | 1f8868e - test: add frontend.adaptation tests for different conversion ratios | |/ * / 0436666 - phy/gensdrphy: sample rddata on sys_clk (assume clk generated to sdram is shifted), add cmd_latency parameter and simplify control logic. |/ * ebdbcac - Merge pull request #169 from antmicro/jboc/unit-tests |\ | * f19d92b - test: add wishbone tests with data width mismatch | * 7996ee5 - test: add missing write-enable handling | * 3c0fdf0 - test: handle 'we' in DRAMMemory, add memory debug messages | * e8558f6 - test: fix bits formatting | * 7593b2d - test: add basic wishbone test * | d96dd94 - phy/s7ddrphy: add ISERDESE2 MEMORY mode support that uses DQS to sample the DQ datas. |/ * 060d180 - Merge pull request #168 from antmicro/jboc/unit-tests-ecc |\ | * 68d078c - test: add tests for LiteDRAMNativePortECCW/LiteDRAMNativePortECCR | * 1b4647b - test: add tests for LiteDRAMNativePortECC * 4a784f0 - Merge pull request #165 from antmicro/jboc/unit-tests |\ | * 03f9399 - test: move DMA specific tests to test_dma.py | * 36d5b42 - test: correct DMAReaderDriver/DMAWriterDriver logic | * 6ef623e - test: cleanup test_bist.py code style | * a883f88 - test: add LiteDRAMDMAReader tests | * d86ebd7 - test: add LiteDRAMDMAWriter tests | * 5618d2a - test: fix quotes | * ef9b13d - test: add tests for BIST modules with clock domain crossing | * a00c8b7 - test: unify BIST tests, factor out repetitive code | * 13aeb3f - test: add _LiteDRAMBISTChecker/_LiteDRAMPatternChecker tests | * ba83e56 - test: add some more verbose _LiteDRAMBISTGenerator tests | * 239859d - test: add tests for _LiteDRAMPatternGenerator | * ac06382 - test: split GenCheckDriver run into configure/run * 1c5e940 - s6ddrphy/s7ddrphy: use IOBUFDS/IOBUF for DQS even if input is not currently used. * d68eff0 - Merge pull request #166 from Xiretza/standalone-builder-args * ab4ce5d - Allow specifying builder arguments for standalone generator * liteeth changed from f532a12 to fb47853 * fb47853 - phy/gmii: use a BUFG between eth_rx.clk and eth_rx.clk. * 8accd67 - Merge pull request #36 from antmicro/hybrid-mac |\ | * ac9f6d9 - mac: add crossbar for sharing PHY between HW ethernet cores and Wishbone * | 400ca97 - examples: increase clk_freq to 125MHz on udp_s7phyrgmii.yml. * | ea24ff6 - liteeth_gen: improve readability and add clk_freq checks. * | 693a6b1 - Merge pull request #35 from Xiretza/standalone-customization |\ \ | |/ |/| | * 2e9121d - Allow changing all SoC options through YAML config |/ * 32d4af1 - phy/__init__: import all phys. * b2e1272 - phy: add tx/rx_clk_freq to phys (useful to add an add_ethernet method in LiteX and simplify timing constraints). * 466223e - liteeth/gen: update copyrights * d6b5888 - Merge pull request #34 from Xiretza/generator-improvements |\ | * 7a44209 - Make memory/CSR regions customizable in config | * ca9cbd1 - Move more options to config file | * eea1086 - Use builder arguments in generator | * b9fb1f0 - Remove leftover classes in generator |/ * 358bc23 - examples/.ymls: add separators * ddcbc33 - test/test_gen: update * fcadd60 - liteeth/gen: initial switch to YAML config file (similar to LiteDRAM/LitePCIe) * b029088 - Merge branch 'ximinity-generator-lattice' |\ | * 0954fa3 - Merge branch 'generator-lattice' of git://github.com/ximinity/liteeth into ximinity-generator-lattice |/| | * ae10eea - gen: add lattice support * | fcf7b24 - Merge pull request #33 from Xiretza/standalone-features |\ \ | * | 5767dfc - Honour --output-dir argument in generator | * | 153c160 - Prioritise overridden interrupts and memory regions | * | ec9bc57 - Fix MII tx_en signal width in standalone generator | * | 42a7b6c - Allow little-endian interface for standalone design | * | a696ccd - Expose interrupt pin for standalone design |/ / * | 208bc09 - liteeth/gen: update * | ddd0431 - examples: use integrated sram instead of external one. (Also fix regression with new SoC that no longer support address decoders passed to add_wb_slave) |/ * 081bf46 - mac/sram: simplify code and improve SRAM read speed using async_read on Memory. * bf4a11a - mac/sram: simplify counter (use NextValue in FSM) * 721238b - mac/sram: cosmetic changes * liteiclink changed from 864cd83 to 370855d * 370855d - liteiclink/transceiver: use CSR fields in logic instead of CSR storage/status. * 1afbaa5 - transceiver: improve CSR descriptions using CSRField's values. * 5ac090e - transceiver: add CSR documentation to add_base_control/add_prbs_control. * litex changed from 02bfda5e to 536ae0e6 * 536ae0e6 - Merge pull request #425 from esden/csr-cod-split-reg |\ | * 57576fa8 - Add bit more logic to decide when to switch to multilane CSR documentation. | * dda7a8c5 - Split CSR documentation diagrams with more than 8 bits into multiple lanes. |/ * c0f067c3 - Merge pull request #427 from enjoy-digital/s7mmcm_fractional_divide |\ | * aec1bfbe - cores/clock: simplify Fractional Divide support on S7MMCM. |/ * f34593a1 - Merge pull request #421 from betrusted-io/clk0_fractional |\ | * 5b92bf2d - add fractional division options to clk0 config on PLL * | eb9f54b2 - test: add initial (minimal) test for clock abstraction modules. * | c304c4db - targets/icebreaker: add description of the board, link to crowdsupply campagin and to the more complete example. * | b5bddc23 - Merge pull request #426 from esden/update-wavedrom |\ \ | * | d063acb7 - Updating the vendored wavedrom js files. |/ / * | a27385a7 - soc/intergration: rename mr_memory_x parameter to memory_x. * | d5da9e0d - Merge pull request #424 from esden/generate-memory-x |\ \ | * | 4d022632 - Add --mr-memory-x parameter to generate memory regions memory.x file. |/ / * | e9f0ff68 - Merge branch 'master' of http://github.com/enjoy-digital/litex |\ \ | * \ 01b69693 - Merge pull request #422 from xobs/core-doc-fixes | |\ \ | | * | a2f61b4e - soc/cores/spi_opi: documentation fixes | | * | d2f6139d - soc/cores/i2s: fix rst parsing errors | | |/ | * | 4ccf62af - Merge pull request #423 from gsomlo/gls-ethmac-fixes | |\ \ | | * | a9040348 - integration/soc: add_ethernet: honor self.map["ethmac"], if present * | | | 979f98ea - software: revert LTO changes (Disable it). |/ / / * | | bb8905fa - cores/gpio: add CSR descriptions. * | | 4dabc5a6 - cores/icap: add CSR descriptions. * | | 77132a48 - cores/spi: add CSR descriptions. * | | 6d861c6e - cores/pwm: add CSR descriptions. * | | cbc1f594 - cores/xadc: add CSR descriptions. |/ / * | 846a2720 - targets/kcu105: move cd_pll4x. * | c97fabb2 - targets/kcu105: simplify CRG using USIDELAYCTRL. * | 3c0b97ee - cores/clock/USIDELAYCTRL: use separate reset/ready counters and set cd_sys.rst internally. * | bcbf558b - bios: add more Ultrascale SDRAM debug with sdram_cdly command to set clk/cmd delay. * | c4ce6da6 - Merge pull request #419 from gsomlo/gls-ultra-sdram-fixup |\ \ | * | 4d15e1f7 - software/bios: fixup for Ultrascale SDRAM debug * | | b5090687 - cores/clock: add logging to visualize clkin/clkouts and computed config. * | | 04b8a912 - integration/soc: add FPGA device and System clock to logs. * | | 02cba41d - targets/icebreaker: create CRG after SoC. |/ / * | ba2f31d4 - integration/soc: set use_rom when cpu_reset_address is defined in a rom region. * | 8808c884 - boards/platforms/icebreaker: cleanup a bit. * | 4656b1b2 - software/common: fix LTO checks. * | 2a91dead - soc/cores/clock/iCE40PLL: add SB_PLL40_PAD support. * | 38d7f8a6 - build/lattice/icestorm: add timingstrict parameter and default to False. (similar behavior than others backends) * | 1e9aa643 - targets/icebreaker: simplify, use standard VexRiscv, add iCE40PLL and run BIOS from SPI Flash. * | 197bdcb0 - lattice/icestorm: enable DSP inference with Yosys and avoid setting SPI Flash in deep sleep mode after configuration which prevent running ROM CPU code from SPI Flash. * | 37869e38 - boards: add initial icebreaker platform/target from litex-boards. * | 72af1b39 - software/bios: add Ultrascale SDRAM debug functions. * | 6480d180 - boards/platforms/kcu105: avoid unnecessary {{}} on INTERNAL_VREF. * | b02c2339 - integration/soc/SoCRegion: add size_pow2 and use this internally for checks since decoder is using rounded size to next power or 2. |/ * e801dc02 - soc: allow creating SoC without BIOS. * 5ded1447 - Merge pull request #416 from enjoy-digital/csr_svd |\ | * ecca3d80 - integration/builder: rename software methods to _prepare_rom_software/_generate_rom_software/_initialize_rom_software. | * 69ffafd8 - integration/builder: generate csr maps before compiling software. | * e2dab063 - Add SVD export capability to Builder (csr_svd parameter) and targets (--csr-svd argument) and fix svd regression. |/ * e124aed9 - software/common.mak: fix LTO refactoring issue. * 8bfb845f - Merge pull request #412 from antmicro/fix-copyrights |\ | * da580e31 - Fix copyrights |/ * 361b6a06 - Merge pull request #408 from gsomlo/gls-fix-nexys-sdcard |\ | * 020bef41 - targets/nexys4ddr: fix sdcard clocker initialization |/ * 9249fc90 - Merge pull request #410 from antmicro/netv2-edid |\ | * 72f63243 - platform/netv2: add proper I2C pins for HDMI IN0 * | ad11ff39 - targets/ecp5: make sure all BaseSoC/EthernetSoc default to trellis. * | 37701950 - bios/sdcard: update sdclk_mmcm_write with LiteSDCard clocker changes. * | 4c83c975 - doc: align to improve readability. * | 4f935714 - soc/doc: remove soc.get_csr_regions support. * | 6893222c - bios/main: rename flushl2 command to flush_l2_cache, add flush_cpu_dcache command and expose them in help. * | d2accbb1 - README: update quick start guide and add instructions for windows. * | fc9b3975 - README: update - improve presentation - add link to #litex freenode channel. - add example of complex SoC. - make it directly usable on Wiki. - only keep one quick start guide. - add community paragraph and link to Litex-Hub. * | 68f56542 - doc: remove partial doc imported from litex-buildenv-wiki: we'll create a LiteX wiki and doc. * | 0b923aa4 - build: assume vendor tools are in the PATH and remove automatic sourcing, source and toolchain_path parameters. * | 1d7c6943 - software/common: add LTO enable flag and cleanup. * | b29f443f - litex_sim: fix with_uart parameter. |/ * 98e41e2e - targets/nexys4ddr: add default kwargs parameters. * 598ad692 - Merge branch 'master' of https://github.com/enjoy-digital/litex |\ | * ddb264f3 - Merge pull request #405 from sajattack/sifive-triple | |\ | | * 68c013d1 - add riscv-sifive-elf triple * | | a67e19c6 - integration/soc_core: change disable parameters to no-xxyy. * | | 156a85b1 - integration/soc: add auto_int type and use it on all int parameters. * | | 7e96c911 - targets/nexys4ddr: use SoCCore and add_sdram to avoid use of specific SoCSDRAM. * | | cb0371b3 - integration/soc: add ethphy CSR in target. |/ / * | f27225c2 - targets/nexys4ddr: use soc.add_ethernet method. * | 9735bd5b - integration/soc: add add_ethernet method. * | 1c74143a - integration/soc: mode litedram imports to add_sdram, remove some separators. |/ * 54fb3a61 - test/test_targets: use uart-name=stub. * 59e99bfb - soc/uart: add configurable UART FIFO depth. * 9199306a - cores/uart: cleanup * ea856333 - soc/cores/uart/UARTCrossover: reduce fifo_depth to 1. * 12a75286 - interconnect/stream/SyncFIFO: allow depth down to 0. * 9e31bf35 - interconnect/axi: remove Record inheritance on AXIInterface/AXILiteInterface. * 1e0e96f9 - interconnect/axi: add AXI Stream definition and get_ios/connect_to_pads methods. * 6be7e9c3 - interconnect/axi: set default data_width/address_width to 32-bit. * 8e1d5286 - targets: default to trellis toolchain on all ECP5 targets (now able to build all supported targets). * a7c5dd5d - cores/gpio: use separate TSTriple for each bit. * 400492e2 - lattice/yosys: don't use quiet operation since logs are useful and for consistency with others build backends. * c4fd6a7f - targets/kc705: use DDRPHY_CMD_DELAY to center write leveling. * 78a32235 - software/bios/sdram: allow setting CLK/CMD delay from user design and configure it before write/read leveling. * eab5161d - boards: keep in sync with LiteX-boards * 935e4eff - interconnect/axi: remove mode on AXIInterface (not used and breaking LiteDRAM tests) * d324c54e - integration/soc: -x on soc.py * ee27a9e5 - soc/cores/bitbang: fix missing self.comb on miso. * a2d69869 - Merge pull request #402 from antmicro/litex-gen-fix-uart-pins |\ | * 75b000a3 - tools: litex_gen: fix missing UART pins * | e2aebb42 - software: disable LTO with LM32 (not supported by old GCC versions easily available). * | 9e70fcf8 - Merge pull request #401 from antmicro/enable-lto |\ \ | |/ |/| | * 718a65c3 - software: enable link time optimization (LTO) |/ * 9521f2ff - Merge pull request #400 from Xiretza/ecp5-pll-freqfix |\ | * 7a87d4e2 - Fix ECP5PLL VCO frequency range |/ * 0c7e0bf0 - integration/soc: improve presentation of SoCLocHandler's locations. * 0042a028 - interconnect/axi: remove bus_name on connect_to_pads * 5aba1fe8 - tools/litex_gen: add bus parameter and AXI (Lite) support. * a3584147 - litex_gen/axi: simplify the way the bus is exposed as ios and connected to pads. * d86db6f1 - litex_gen/wishbone: simplify the way the bus is exposed as ios and connected to pads. * 18c57a64 - tools: rename litex_extract to litex_gen (use similar name than litedram/liteeth generators) and cleanup/simplify. * 0083e097 - Merge pull request #396 from antmicro/external-wb |\ | * 9e2aede8 - tools: add script for extracting wishbone cores | * 79a14001 - axi: add to_pads method | * e0bcb57d - wishbone: add extracting module signals to the top * | 017c91a4 - Merge pull request #397 from gsomlo/gls-csr-volatile |\ \ | |/ |/| | * 173117ad - Add 'volatile' qualifier to new CSR accessors |/ * 485934ed - doc/socdoc: fix example * 53ee9a5e - cpu/blackparrot: first cleanup pass * f3829cf0 - integration/soc: set base_address on LiteDRAMWishbone2Native, fix addressing with >= 1GB SDRAMs. * 3a6f97ff - build/sim: add Verilator FST tracing support. * 8a715f3b - Merge pull request #390 from gsomlo/gls-add-sdcard |\ | * 516cf405 - targets/nexys4ddr: add optional sdcard support | * d4d2b7f7 - bios: add litesdcard test routines to boot menu | * 7a2e33b8 - targets/nexys4ddr: add ethernet via method instead of inheritance |/ * 774a55a2 - soc_core: fix missing init on main_ram * 5d580ca4 - Merge pull request #389 from antmicro/linux_flash_offsets |\ | * 659c244a - bios/boot: allow to customize flash offsets of Linux images * | 00895518 - cores/cpu: use standard+debug variant when only debug is specified. |/ * ae45be47 - soc/cores/clock: add reset_cycles parameter to S7IDELAYCTRL/USIDELAYCTRL * 9baa3ad5 - soc/csr_bus: fix aligned_paging computation (should be done with SoC's Bus data width not bus.alignment) * 854e7cc9 - integration/soc: improve Region logger * 9cb8f68e - bios/boot: update and fix flashboot, improve verbosity * 6ed0f445 - soc: increase supporteds address_width/paging * 5b3808cb - soc_core: expose CSR paging * 0497f3ca - soc/csr_bus: improve CSR paging genericity * 351896bf - tools/litex_sim: use new sdram verbosity parameter * 67e8a042 - integration/soc: add configurable CSR Paging * 65764701 - soc_core: add back identifier * 8f6114d0 - Merge pull request #387 from BracketMaster/master |\ | * 3da204ed - update to work with mac * | 3574b909 - tools/litex_sim: specify default local/remote-ip addresses. * | aebaea77 - tools/litex_sim: add ethernet local/remote-ip arguments. |/ * 18a9d4ff - interconnect/stream: cleanup imports/idents * 57fb3720 - Merge pull request #386 from antmicro/sdram-timing-checker |\ | * eff85a99 - tools/litex_sim: add cli options to control SDRAM timing checker |/ * e4712ff7 - soc_core: fix cpu_variant renaming regression * a2f1683b - doc: rename lxsocdoc -> socdoc and update readme * baa29f1b - doc: fix regression with new irq manager * 1620f9c5 - soc/CSR: show alignment in report and add info when updating. * 5b34f4cd - soc/add_cpu: use cpu.data_width as CSR alignment, fix regression on Rocket * 2f69f607 - integration/soc: fix refactoring issues * 1d6ce66b - soc/integration/builder: update copyright, align arguments * 98ae91ad - Merge pull request #383 from Xiretza/builder-directories |\ | * b5654579 - Unify output directory handling in builder |/ * 4a15c3e2 - Merge pull request #382 from enjoy-digital/new_soc |\ | * e9c665a5 - soc_core/soc_sdram: add disclaimer | * 5558865c - soc_core: provide full retro-compatibily when add_wb_slave is called before add_memory_region | * 1b5caf56 - soc: fix busword typo | * 8b5cc345 - targets/EthernetSoC: be sure memory region is added before adding Wishbone Slave (required by new SoC) | * 240a55ba - Merge branch 'master' into new_soc | |\ | |/ |/| * | d5ad1d56 - soc/integration: move mem_decoder to soc_core * | 0a737cb6 - soc/integration/common: simplify get_version * | 4d761e1a - cores/cpu: remove separators on io_regions (requires python 3.6) * | 7c57a33b - Merge pull request #380 from Xiretza/cpunone-all-io |\ \ | * | e301df7f - Allow all memory regions to be used as IO with CPUNone |/ / * | 16d1972b - integration/common: fix mem_decoder (shadow base has been deprecated) * | 7ee9ce38 - .gitmodules/black-parrot: switch to https://github.com/enjoy-digital/black-parrot (without the submodules) * | 1dced818 - Merge pull request #278 from scanakci/blackparrot_litex |\ \ | * | d15c911c - BlackParrot initial commit w/ Litex BIOS simulation including LiteDRAM w/ Litex BIOS working on FPGA excluding LiteDRAM * | | 1d70ef69 - soc/cores/spi_opi: cleanup, rename to S7SPIOPI (since 7-Series specific for now) * | | 62f3537d - soc/cores: rename spiopi to spi_opi * | | f58e8188 - soc/cores/i2s: cleanup pass, rename to S7I2SSlave (since 7-Series specific for now), rename fifodepth to fifo_depth for consistency with others cores. * | | c2c80b5d - Merge pull request #378 from betrusted-io/merge_ip |\ \ \ | * | | 98e46c27 - reduce indents | * | | d2b394a9 - update doc comments on events for i2s | * | | 416afd31 - add doc comment for event | * | | 33d9e45a - fix formatting on spiopi | * | | cc6ed667 - Request to merge I2S and SPIOPI cores | | | * 399b65fa - soc/add_uart: fix bridge | | | * 160c55d1 - soc_core/soc_sdram: remove disclaimer (we'll add it later when designs will be adapted) | | | * b2c66b1e - soc: avoid double definition of main_ram | | | * 5f994608 - soc: improve log colors on error reporting | | | * b22d2ca0 - soc: add linker regions management | | | * abc31a92 - soc: improve log presentation/colors | | | * 91e2797b - soc: fix cpu_reset_address | | | * 0d7430fc - tools/litex_sim_new: remove | | | * 21d38701 - soc: fix build_time format | | | * b43d830f - soc/add_sdram: simplify L2 Cache, use FullMemoryWE on L2 Cache by default (seems better on all devices) | | | * ea8e745a - soc_core/common: move old mem_decoder to soc_core, simplify get_version | | | * 5e11e839 - tools/litex_sim_new: switch to dynamically allocated ethmac origin | | | * dd0c71d7 - soc/SoCRegion/Decoder: pass bus to decoder and remove mask on origin | | | * e8e4537e - soc/add_sdram: avoid L2 cache when l2_cache_size == 0. | | | * dcbdb732 - soc: remove unneeded \n | | | * 0f1811fb - tools/litex_sim_new: use new bus/csr/irq methods | | | * d320be8e - soc: use io_regions for alloc_region | | | * 9ac09ddd - tools: add litex_sim_new based on SoCCore and using add_sdram method | | | * cbcd953d - soc_core: use add_rom | | | * 487ac3da - soc/add_cpu: simplify CPUNone integration | | | * f7d4648c - soc/SoCBusHandler: add add_adapter method and use it to convert Master/Slave before connecting to the Bus | | | * 379d47a8 - soc/add_sdram: add sdram csr | | | * 3921b634 - soc/add_sdram: fix rocket, shorten comments | | | * 14b627b4 - soc/add_sdram: improve API | | | * 1faefdc0 - soc: add LiteXSoC class and mode add_identifier/uart/sdram to it | | | * 11dbe190 - soc_core/sdram: cleanup, add disclaimer | | | * 5eb88cd9 - soc: add add_sdram | | | * 39011593 - soc: add csr_regions, update copyright | | | * d2b06951 - soc: add cpu rom/sram check | | | * de100fdd - soc: add SOCIORegion and manage it | | | * 6b8c425f - soc: reorder main components/peripherals | | | * 84b5df78 - soc: add add_cpu method | | | * b676a559 - soc: fix unit-tests | | | * 0a588390 - soc: integrate constants/build | | | * 014d5a56 - soc: show sorted regions (by origin) / locs | | | * c69b6b7c - soc: simplify color theme | | | * 3cb90297 - soc: add add_uart method | | | * e5cacb8b - soc_core: cleanup imports | | | * 33d498b8 - soc_core: get_csr_address no longer used | | | * 1feff1d7 - soc: integrate CSR master/interconnect/collection and IRQ collection | | | * 3ba7c29e - soc: add add_constant/add_config methods | | | * 29bbe4c0 - soc: add add_csr_bridge method | | | * b84c291c - soc: add add_controller/add_identifier/add_timer methods | | | * 9445c33e - soc: add add_ram/add_rom methods | | | * e5a8ac1d - soc: add automatic bus data width convertion to add_master/add_slave | | | * 8f67f115 - soc/soc_core: cleanup, remove some unused attributes | | | * 2c6e5066 - soc: move SoCController from soc_core to soc | | | * 848fa20d - soc: create SoCLocHandler and use it to simplify SoCCSRHandler and SoCIRQHandler | | | * 39458c92 - soc: add use_loc_if_exists on SoCIRQ.add to use current location is already defined | | | * 1eff0799 - soc: add use_loc_if_exists on SoCCSR.add to use current location is already defined | | | * 8bc42067 - soc/integration: initial adaptation to new SoC class | | | * 6baa07a6 - soc/integration: add new soc class prorotype with SoCRegion/SoCBus/SoCCSR/SoCIRQ/SoC | |_|/ |/| | * | | 9b11e919 - cpu/vexriscv: update submodule |/ / * | ae085782 - doc: add lxsocdoc.md (README from lxsocdoc repository) * | 5ff02e23 - Merge pull request #375 from xobs/add-lxsocdoc |\ \ | * | 58598d4f - integration: svd: move svd generation to `export` | * | 73ed7e56 - soc: doc: use sphinx toctree as it was intended | * | 7c3bc0b0 - litex-doc: initial merge of lxsocdoc * | | 1944d8d9 - bios/main: add LiteX tagline * | | 40cddca9 - Merge pull request #376 from antmicro/build-sim-do-not-override-C-LD-FLAGS |\ \ \ | |/ / |/| | | * | 90fe5850 - build/sim: allow to use environment's {C,LD}FLAGS |/ / * | bd6fd3da - Merge pull request #373 from antmicro/l2-reverse |\ \ | * | f3b068e2 - tools/litex_sim: use l2_reverse flag |/ / * | 3350d33f - wishbone/Cache: add reverse parameter * | eff9caee - soc_sdram: add l2_reverse parameter * | 6e5b47f4 - Merge pull request #370 from Disasm/fixes |\ \ | * | de88ed28 - Fix argument descriptions | * | eb49ec21 - Pass --csr-json to the Builder |/ / * | b69f2993 - soc_core: add UART bridge support (simplify having to do it externally) * | 7a6c04db - build/altera/quartus: fix fmt_r typo * | c6b9676d - cpu/minerva: update (use new nMigen API) * | 9d289472 - inteconnect/stream: use PipeValid implementation for Buffer * | 1c88c0f8 - inteconnect/stream: cleanup * | cafd9c35 - Merge pull request #366 from gsomlo/gls-csr-followup |\ \ | * | ff2775c2 - software, integration/export: (re-)expose CSR subregister accessors * | | f3f9808d - interconnect/stream: add PipeValid and PipeWait to cut timing paths. * | | b22ad1ac - build/xilinx/vivado: improve readability of generated tcl/xdc files |/ / * | 7bc34a9b - integration/soc_core: revert integrate_sram_size default value (cause issues when using External SPRAM). * | b4b56db4 - Merge pull request #363 from antmicro/litex-sim-ddr4 |\ \ | * | c02dd5e8 - tools/litex_sim: add ddr4 PhySettings |/ / * | 0820adbd - tools/litex_sim: add --sdram-init parameter * | 01ae10b8 - software/bios: revert M-Labs MiSoC copyright. * | ea5ef8c1 - README: update copyright year and make sure LICENSE/README both mention MiSoC * | 95cfa6a8 - platforms/netv2: add pcie pins * | f9bc98ed - Merge pull request #359 from gregdavill/bios_ddr3_ecp5 |\ \ | * | 1f439062 - soc/software/bios/sdram: ECP5 move strobe dly_sel | * | f84f57d6 - soc/software/bios/sdram: On ECP5 strobe dly_sel after read leveling |/ / * | 52765488 - tools/litex_sim: update copyrights and cosmetic changes * | b280bb2f - Merge pull request #358 from antmicro/litex_sim_ddr |\ \ | * | 9aa97c2e - tools/litex_sim: add support for other sdram types (DDR, LPDDR, DDR2, DDR3) * | | 19ef19ce - cores/clock/create_clkout: rename clk_ce to ce, improve error reporting * | | 7e088360 - Merge pull request #357 from betrusted-io/add_clk_ce |\ \ \ | |/ / |/| | | * | 1f7549b4 - add BUFIO to clockgen buffer options | * | b3f9aa11 - add option for BUFGCE to the clock generator buffer types * | | cbc081c4 - tools/litex_sim: review/cleanup sdram-module/sdram-data-width features. * | | b35ea459 - Merge pull request #354 from antmicro/litex_sim_ddr |\ \ \ | * | | 674cfcde - tools/litex_sim: specify dram chip and data width via commandline * | | | b23f13d9 - Merge pull request #351 from antmicro/fix_sram_size_argument |\ \ \ \ | |/ / / |/| | | | * | | 7a05353a - soc_core: rename integrated_sram_size argument | * | | c4bb4169 - soc_core: fix integrated_sram_size argument type * | | | 5845df76 - build/xilinx/vivado: add pre_placement/pre_routing commands * | | | 13880882 - cores/icap: add add_timing_constraints method * | | | 2074a86e - cores/dna: cleanup and add add_timing_constraints method |/ / / * | | d39dc8cf - tools/litex_sim: cleanup/simplify * | | a0d95766 - build/sim: add -Wl,--no-as-needed to LDFLAGS for Ubuntu 16.04 support (thanks kamejoko80) * | | 80c3dc41 - targets: use mem_region.origin instead of mem_map definition (prepare for automatic mem_region allocation) * | | 53bc18cc - soc_core: add new alloc_mem/add_mem_region to allow automatic allocation of memory regions |/ / * | eae0e004 - cores/clock/xadc: ease DRP timings * | 7b92a17c - test/test_targets: limit max_sdram_size to 1GB * | 008a0894 - targets/nexys4ddr: fix typo * | 36e5274a - SoCSDRAM: set default max_sdram_size to 1GB (maximum we can map with current mem_map) * | 46c1c5c1 - targets/kcu105: remove main_ram_size_limit * | 5913c91c - SoCSDRAM: rename main_ram_size_limit to max_sdram_size and make it a parameter of SoCSDRAM, expose SoCSDRAM parameters to user * | 1c465f89 - build/lattice: add add_false_path_constraint method for API compatibility but false paths are not yet used/translated to .lpf file * | b4ba2a47 - soc/cores/uart: set rx_fifo_rx_we to True on UARTCrossover |/ * 5aa516cb - soc/cores/uart: add rx_fifo_rx_we parameter to pulse rx_fifo.source.ready on rxtx register read. * 862e784e - cpu/vexriscv: use 32-bit signal for externalResetVector * f2a1673f - targets/arty/genesys2: fix EthernetSoC/EtherboneSoC selection * 990870d0 - targets/genesys2: add EtherboneSoC * 820e79bf - platforms/de0nano: specify gpio for serial * ba366d42 - targets: cleanup EthernetSoC * a2685370 - soc/interconnect/packet/Depacketizer: use both sink.valid and sink.ready to update sink_d, fix Etherbone regression on Arty. * a168ecba - targets/arty: add EtherboneSoC * 7a4ecfa5 - targets/kcu105: update * 68e225fb - test/test_targets: update * 42efa998 - SoCCore: set default integrated_rom/ram_size to 0. For targets, defaults values are provided by soc_core_args. * 4050e608 - SoCCore: use hex for integrated_rom/sram_size * f818755c - Merge pull request #339 from gsomlo/gls-csr-cleanup |\ | * b073ebad - bios/sdram: switch to updated CSR accessors, and misc. cleanup | * 2c393041 - software, integration/export: rename and reimplement CSR accessors * | f1606dbc - tools/litex_sim: use default integrated_rom_size * | 4648db0c - cores/uart/UARTInterface: remove connect method * | 6c9f418d - soc_core: fix uart stub |/ * 63cd23c9 - cpu/vexriscv: revert mem_map_linux/main_ram * 83a7225c - SoCCore: set integrated rom/sram size default values in soc_core_args and use it in targets * 6e3f25a7 - cpu/vexriscv/mem_map_linux: update main_ram to 0x40000000 * fe14b9cf - targets/genesys2: update self.register_sdram * 39ce39a2 - soc_sdram: add l2_data_width parameter to set minimal l2_data_width to improve DRAM accesses efficiency. * 23175190 - cores/uart: add UARTCrossover * 2f03d323 - cores/uart/UART: add stream interface (phy=None), add connect method and use this for UART Stub/Crossover. * d92bd8ff - gen/fhdl/verilog: fix signed init values * ff066a5e - Merge pull request #338 from DurandA/master |\ | * d24a4b54 - Add optional 'ignore-loops' flag to nextpnr * | 26fe45fc - cores/uart: rename BridgedUART to UARTEmulator and rework/simplify it. Also integrated it in SoCCore with uart_name="emulator" * | d40bf9d8 - Merge pull request #340 from xobs/bridged-uart |\ \ | |/ |/| | * 5079a3c3 - uart: add BridgedUart |/ * f70dd482 - bios/sdram: add memspeed * fa22d6aa - wishbone/Cache: avoid REFILL_WRTAG state to improve speed. * f408527d - soc/cores/cpu: add riscv64-linux toolchain support for risc-v cpus. * 8889821c - targets: sync with litex-boards * aba8fc5c - build/altera/quartus: allow multiple call of add_period_constraint if constraint is similar. * e318287e - Merge pull request #337 from gregdavill/spi-flash |\ | * 49781467 - soc/cores/spi_flash: Don't tristate DQ2/DQ3 when bitbanging * | 2cf95e9f - platforms/minispartan6: rename sd to sdcard and regroup data lines * | e99740e8 - platforms/nexys4ddr: add sdcard pins * | 83ad674f - build/lattice/trellis: use a single fonction to parse device * | 018c7ca8 - Merge pull request #336 from kbeckmann/trellis-speed |\ \ | * | 426ab676 - trellis: Pass speed grade argument to nextpnr |/ / * | fd4cbd80 - Merge pull request #331 from betrusted-io/xadc_mods |\ \ | * | 378722a7 - soc/cores/xadc: define analog_layout and simplify analog_pads connections | * | 87d456ca - bring back analog_pads specifier, remove reset conditions on VP | * | 4dc0a614 - soc/core/xadc: cleanup, simplify and add expose_drp method - keep CSR ordering with older version, requested for software compatibility. - always enable analog capability (user will just not use it if not needed). - add expose_drp method (similar to clock.py) for cases where DRP is needed. | * | 5eec7432 - fix a couple bugs in the DRP readout path | * | 56ccaeeb - add support for DRP on XADC * | | 642d0737 - cpu/minerva: fix variant syntax warning * | | 8ba204c7 - Merge pull request #332 from gsomlo/gls-csr-mem-sel |\ \ \ | * | | d087e2e0 - interconnect/csr_bus/SRAM: allow 64-bit alignment (on 64-bit CPUs) |/ / / * | | 690de79d - cpu/microwatt: reorder sources, add comments * | | e36df2a6 - build/lattice/icestorm: increase similarities with trellis. * | | 197edad3 - soc/integration/soc_core/SoCController: specify initial reset value of scratch register in description * | | b65a36e7 - soc/integration/soc_core/SoCController: rephrase CSR descriptions a bit * | | 1f27b21f - Merge pull request #330 from xobs/document-ctrl-timer0 |\ \ \ | * | | c5aa929d - cores: timer: clean up wording for timer documentation | * | | 2d75aee7 - soc_core: ctrl: document registers | * | | a251d712 - cores: timer: fix documentation formatting |/ / / * | | db7a48c0 - soc/cores/clock: also allow margin=0 on iCE40PLL and ECP5PLL * | | caacc411 - Merge pull request #328 from betrusted-io/precise_clocks |\| | | * | 219bb7f2 - add the possibility for a "precise" clock solution |/ / * | 9336fe11 - build/microsemi/libero_soc: update add_period_constraint behavior when clock is already constrainted. * | 3022f02b - build/xilinx/vivado: update add_period_constraint behavior when clock is already constrainted. * | fe4eaf58 - build/lattice/icestorm/add_period_constraint: improve * | 6b91e882 - soc/integration/builder: avoid try/except on LiteDRAM import, just check if SoC has an sdram and do the import if so * | 2157d0f3 - Merge pull request #327 from zakgi/master |\ \ | * | 39ae230b - moving RAM offsets outside of CSR_ETHMAC define * | | f0b5c672 - Allow specifying the same clock constraint multiple times. * | | 8b955e6f - Allow LiteX builder to be used without LiteDRAM. * | | a738739a - Improve the invalid CPU type error message. * | | 85ade2b3 - build/xilinx/programmer: fix vivado_cmd when settings are sourced manually. |/ / * | ffa7ca8f - Merge pull request #321 from gsomlo/gls-rocket-aximem-wide |\ \ | * | cd8feca5 - cpu/rocket: variants with double (128b) and quad (256b) wide mem_axi * | | e754c055 - Merge pull request #319 from DurandA/feature-integer-attributes |\ \ \ | |/ / |/| | | * | 94e239ff - Add integer attributes | * | f8c58216 - Revert "gen/fhdl/verilog: allow single element verilog inline attribute" * | | 40c35550 - Merge pull request #320 from gsomlo/gls-touch-up |\ \ \ | * | | 585b50b2 - soc_core: csr_alignment assertions | * | | b6818c20 - cpu/rocket: access PLIC registers via pointer dereference |/ / / * / / 0e46913d - cpu/microwatt: add initial software support |/ / * | f883f0c7 - cpu/microwatt: add submodule * | 5da0bcbd - cpu/microwatt: set csr to 0xc0000000 (IO region) * | 39a8ebe7 - cpu/microwatt: fix add_source/add_sources * | d74a7463 - soc/cores/pwm: remove debug print(n) * | bd15f07c - platforms/netv2: add xc7a100t support * | 76e57414 - platforms/minispartan6: add assert on available devices * | bfe0bf64 - cpu/microwatt: simplify add_sources * | b9edde20 - cpu/microwatt: add io_regions and gcc_flags * | 16e7c6b6 - cpu/microwatt: update copyright * | 3d79324f - cpu/microwatt: drive stall signal (no burst support) * | da3a178b - soc/cores/pwm: add clock_domain support * | 9da28c4e - build/xilinx/XilinxMultiRegImpl: fix n=0 case * | ec7dc2d8 - build/xilinx/ise: cleanup/simplify pass, remove mist support (not aware of anyone using it) * | 1b963bb2 - soc/cores/cpu: add initial Microwatt gateware support * | c34255d2 - soc/cores/cpu/minerva: add self.reset to i_rst * | 8b6f9e0a - Merge pull request #315 from gsomlo/gls-csr-assert |\ \ | * | a0dad1b0 - soc_core: additional CSR safety assertions |/ / * | fb6b0786 - soc_core: remove static 16MB csr region allocation (use csr_address_width to allocate the correct size) * | b1a1e5e2 - soc_core: add sort of CSR regions by origin (allow csr.h/csr.csv to be ordered by origin) * | 061d593d - cores/8b10b: use real Memory for 6b5b table (to improve timings on ECP5) * | a0122f98 - build/xilinx/vivado: move build_script generation * | 18ff8f38 - build/xilinx/vivado: cleanup/simplify * | 0931ccc9 - build/lattice/icestorm: cleanup/simplify (and remove arachne-pnr support) * | b1b92053 - build/xilinx/common/platform/programmer: cleanup pass * | edaa66bb - boards: add Lambdaconcept's PCIe Screamer (R02) * | a8635c48 - targets/versa_ecp5: fix compilation with diamond * | 30a18808 - boards/targets: keep attributes are no longer needed since automatically added when applying constraints to signals. * | 23c33cfa - build: automatically add keep attribute to signals with timing constraints. * | 4c9af635 - build/altera/quartus: allow adding period constraints on nets and add optional additional sdc/qsf commands * | 22e6f5ac - build/lattice/trellis: nextpnr now handle LPF timing constraints and multiple clock domains, freq_constraint is no longer needed. * | 8fb3f9a9 - build/lattice: cleanup/simplify (no functional changes) * | 946478a7 - build/lattice: cleanup/simplify * | 60edca23 - build/microsemi: cleanup/simplify (no functional change) * | 50fdc5ce - build/altera: cleanup/simplify (no functional change) * | b17dfafa - Merge pull request #313 from mmicko/yosys_ise_flow_fix |\ \ | * | 783dfa50 - Properly select family for those currently supported | * | 6560911d - Integrate with latest yosys changes * | | 8d90f4e9 - build/xilinx/vivado: use VHDL 2008 as default * | | cfd17321 - targets/nexys4ddr: remove MEMTEST_ADDR_SIZE limitation (no longer needed) * | | 201d60f3 - targets/netv2: switch to MVP DDR3 (K4B2G1646F instead of MT41J128M16) * | | 6b820647 - targets: uniformize, improve presentation * | | 718f6995 - README: fix LitePCIe Travis-CI link * | | 6de20f18 - soc/interconnect/csr: add fields support for CSRStorage's write simulation method * | | 2567a0ae - soc/cores/gpio: add GPIO Tristate * | | d702c0fe - setup.py: update long_description * | | c9665aed - README.md: use litex logo * | | 82819dd5 - README: switch to Markdown * | | 90f9ffc5 - Merge pull request #311 from kbeckmann/trellis_cabga256 |\ \ \ | |/ / |/| | | * | f411d6d3 - trellis: Support the CABGA256 package |/ / * | 3d20442f - Merge pull request #310 from xobs/spi-flash-mode3-doc |\ \ | |/ |/| | * 581c2372 - spi_flash: correct documentation on SPI mode |/ * de205d4a - tools/remote/comm_udp: only use one socket * bdaca40f - build/generic_platform: avoid duplicate in GenericPlatform.sources * 6883a436 - soc/cores/clock: change drp_locked to CSRStatus and connect it :) * 36107cdf - soc/cores/clock: reset PLL/MMCM on all 7-series/Ultrascale with self.reset signal * e8e70b16 - Merge pull request #309 from antmicro/mmcm-fix |\ | * fd14b765 - soc/cores/clock: add lock reg and assign reset * 04017519 - soc/interconnect/axi: add Wishbone2AXILite * 4b073a44 - test/test_axi: cosmetic * d9055211 - build/tools/get_migen/litex_git_revision: avoid git fatal error message is not installed as a git repository * litex-boards changed from 84164f8 to a7fbe0a * a7fbe0a - colorlight_5a_75b: add SoC with regular UART (on J19). * 19e5366 - targets/colorlight_5a_75b: update sys/sys_ps phases. * 9ae8a0c - colorlight_5a_75b/v7.0: add spiflash pins. * ccfc021 - Merge pull request #61 from ilya-epifanov/ecp5-evn-programming |\ | * 8afc9a5 - programming the ECP5-EVN flash through the OpenOCD JTAG-SPI proxy * | 89dd00d - platforms/aller: rename pcie to pcie_x4 (for consistency with others platforms). * | cc2ac08 - Merge pull request #60 from antmicro/zcu104-sodimm |\ \ | * | d2edf54 - zcu104: add fully working SO-DIMM config |/ / * | 3b91e96 - targets/add_constant: avoid specifying value when value is None (=default) * | 555bf6c - targets/Ultrascale(+): enable USDDRPHY_DEBUG. * | 4053c02 - targets/orangecrab: add USB PLL for USB CDC with ValentyUSB. |/ * 85f3887 - targets: update PCIe on Numato targets. * 6e6b6da - platforms/orangecrab: add spisdcard pins. * 87fd4dc - platforms/minispartan6: add spisdcard pins. * 24033e3 - targets: update SDRAM to use new GENSDRPHY and new ECP5PLL phase support. * 92f793f - platforms: remove versa_ecp3 (ECP3 no longer supported). * 131733a - Merge pull request #59 from gregdavill/OrangeCrab |\ | * fe2fa09 - test_targets: revert orangecrab test build | * eb35ec9 - orangecrab: combine revisions in target | * 357aeac - test_targets: Update orangecrab platforms | * 159360d - orangecrab: Add r0.2 support | * bf3c9dc - orangecrab: Add sdram selection option | * 88d3f1d - orangecrab: r0.1 OrangeCrab fixes |/ * 78224b1 - targets/colorlight_5a_75b: add SDRAM. * a95a4ee - targets/colorlight_5a_75b: switch to add_ethernet/add_etherbone methods. * 7bba5ca - targets/c10prefkit: remove keep attributes (no longer needed, added automatically). * 6c31933 - targets: switch to add_etherbone method. * 159386e - targets: always use sys_clk_freq on SDRAM modules. * 3fb3ba1 - targets: switch to add_ethernet method instead of EthernetSoC. * 83e6fb2 - targets: switch to SoCCore/add_sdram instead of SoCSDRAM. * 33bf1d3 - Merge pull request #58 from gsomlo/gls-trellisboard-spisdcard |\ | * f021c1d - targets/trellisboard: add '--with-spi-sdcard' build option | * 69a78c8 - targets/trellisboard: switch to SoCCore, use add_ethernet() method | * 396b038 - platforms/trellisboard: fix "sdcard" pads, add "spisdcard" pads * | fb1cab8 - targets/arty: use new ISERDESE2 MEMORY mode. |/ * d0d047d - platforms/ulx3s: add spisdcard pins. * 6ab13a0 - de10nano/MiSTer: rename SPI SD CARD pins to spisdcard and remove SPI SD Card integration from target. * db9d548 - Merge pull request #56 from rob-ng15/master |\ | * bc6ef0b - Allow access to secondary sd card via hardware spi bitbanging | * a6f8069 - Add in support for secondary sd card via spi hardware bitbanging * | 57bcadb - platforms/nexys4ddr: add spisdcard pins. * | f3d7f58 - platforms/kcu105: fix pcie tx0 p/n swap. |/ * a99d258 - targets/icebreaker: use simplified version closer to the others targets. * 74a5ffb - targets/Ultrascale+: use 500MHz IDELAYCTRL reference clock. * e2a6609 - targets/Ultrascale(+): simplify CRG using USIDELAYCTRL. * cf58550 - targets/Ultrascale+: use USPDDRPHY. * ce92261 - Merge pull request #55 from antmicro/jboc/mercury-xu5 |\ | * 90de99e - platforms/mercury_xu5: fix sdram timing issues * | 75286f8 - platforms/zcu104: add missing INTERNAL_VREF on bank 64 (DQ0-31) |/ * 95e1a05 - platforms/Ultrascale: avoid unnecessary {{}} on INTERNAL_VREF. * 3f191c8 - mercury_xu5: set INTERNAL_VREF to 0.84. (similar to others Ultrascale boards with DDR4). * f4ae21a - zcu104: fix copyrights. * 5031c11 - mercury_xu5: add missing copyrights. * 8c535d1 - platforms/mercury_xu5: replace ' with ". * dc13711 - Merge pull request #52 from antmicro/jboc/mercury-xu5 |\ | * d002059 - add Enclustra Mercury XU5 board * | 2b1b968 - targets/icebreaker: simplify CRG, just use a 12MHz sys_clk and por_clk for reset. * | 9416ddd - targets/icebreaker: simplify arguments and make it closer to others targets. * | 992f706 - targets/icebreaker: simplify leds. * | 6823162 - targets/icebreaker: use specific method to set Yosys/Nextpnr settings. Rename argument to nextpnr-xxyy. * | f777d4b - targets/icebreaker: +x * | 6f517ad - targets/ecp5: make sure all BaseSoC/EthernetSoc default to trellis. * | 7776764 - Merge pull request #51 from esden/icebreaker |\ \ | |/ |/| | * 745c99b - icebreaker: Updated to build on newer litex. Disabled bios building. | * 3ac9d92 - targets: icebreaker: Minor style fixes. | * 7389671 - targets: icebreaker: set the boot address to point to SPI flash | * 093e491 - targets: icebreaker: hack to get boot working | * 77b780e - targets: icebreaker: switch to single SPI | * e6dcdc3 - targets: icebreaker: fix cpu and add spi flash | * 0185095 - targets: icebreaker: fix argument parsing for cpu | * f0dd31f - target: targets: add crg and begin getting it working | * ce9b67e - Added icebreaker platform and target. |/ * fd6c555 - Merge pull request #50 from TomKeddie/tomk_20200228_colorlight_connectors |\ | * 7b4ca20 - platforms.colorlight_5a_75b: add J1-J8 connectors |/ * be5ed35 - targets: default to trellis toolchain on all ECP5 targets (now able to build all supported targets). * b44885d - vc707: fix copyrights (Michael Betz is the initial author) * b89af28 - targets/kc705: use DDRPHY_CMD_DELAY to center write leveling. * edcc2cf - test_targets: add vc707, zcu104, vcu118 and colorlight_5a_75b * aaa10c6 - platforms/colorlight_5a_75b: add default_clk_name/period * d8de4fb - platforms/targets: keep in sync with LiteX * 18f65a7 - platforms/kc705: cleanup ddram. * d4460c1 - platforms/kcu105/vcu118: remove PRE_EMPHASIS/EQUALIZATION on dm. * 58f588f - platforms/zcu104/ddram: add PRE_EMPHASIS/EQUALIZATION settings * d87b8b3 - zcu104: add separate ddram_32/64 definitions and use ddram_32 for now. * 8ecfb13 - zcu104: add copyrights * 22b0449 - Merge pull request #47 from antmicro/zcu104 |\ | * 608541d - add ZCU104 board * | e516ff3 - vcu118/ddram: use similar IO settings than Xilinx's MIG, comment unused pins. * | 9d2ca50 - kcu105/ddram: use similar IO settings than Xilinx's MIG, comment unused pins. * | 83d2c71 - platforms/vcu118: add missing Internal Vref configuration on DDR4 C1/C2 banks |/ * 4a84e9b - targets/colorlight_5a_75b: add instruction to build/load and use bitstream with wishbone-tool * f279fe9 - vc707: cleanup platform/targets, remove Ethernet support (no Ethernet pads defined) * 3581df5 - vc707: cleanup platform/targets, remove Ethernet support (SGMII is not currently supported) * 88a1f80 - vc707/vcu118: use proper copyrights * e34654f - Merge pull request #46 from fei-g/master |\ | * 373e74f - add new board files for VC707 and VCU118, only specified limited ports for VCU118, including clock, reset and DDR4 |/ * 133f735 - Merge pull request #45 from trabucayre/fix_colorlight5A-75B_SDRAM |\ | * 2cf4e08 - platforms/colorlight_5a_75b.py: fix sdram_clock and sdram a pins |/ * f72e7bd - Merge pull request #41 from lromor/fix-wrong-import |\ | * ec30cc0 - Changed wrong imports for fomu board. * | c94360c - targets: avoid direct use of mem_decoder. * | 4edf196 - targets/EthernetSoC: be sure memory region is added before adding Wishbone Slave (required by new SoC) |/ * 83c4894 - test/test_targets: make sure all platforms are tested. * c3d8c74 - test/test_targets: update * 8211aca - Avoid Official/Partner/Community differentiation: use same directory for all platforms/targets. * 7a24406 - targets: fomu: fix compatibility for when a cpu is added * 0627f55 - de10nano: cleanup a bit, rename SDRAMSoC to MiSTerSDRAMSoC and argument to --with-mister-sdram to make it clear that it's using the MiSTer SDRAM extension board. * cf9a9ff - de10nano: update copyrights, remove trailing whitespaces * 4f85d50 - Merge pull request #39 from sajattack/de10nano |\ | * 36e1f1f - rename sw to user_sw | * 1631b07 - finish up sdram, passes memtest | * 5091a1b - WIP sdram module option | * 3a6a925 - add de10 nano board |/ * 2ec6bc0 - colorlight_5a_75b: add disclaimer * 55c0b78 - colorlight_5a_75b: revert rx_delay to 2ns, improve comment (thanks @tnt) * 4fb89fc - colorlight_5a_75b: set RGMII tx/rx_delay to 0ns in the FPGA (added by PCB/PHY) * dcc65b3 - targets/colorlight_5a_75b: switch to SoCCore, CPU and Etherbone working :) * c07e4a6 - colorlight_5a_75b: fix rst_n * 8da8ed7 - colorlight_5a_75b/v7.0: update eth_clocks/rx pinout, remove FIXME * bb80599 - platforms/colorlight_5a_75b: fix 6.1 used_led_n/user_btn_n thanks @smunaut * 43badd1 - colorlight_5a_75b/v6.1: add led/btn and remove FIXME on sdram now that clarified * 1d9e349 - partner: add colorlight_5a_75b initial support * 0706730 - targets/linsn_rv901t: cleanup arguments * 8113b49 - aller/nereid/tagus: update litepcie * 684c164 - add Linsn RV901T support * 0e4569a - platforms/camlink_4k: remove #!/usr/bin/env python3 * e72cd14 - platforms/ac701: fix eth indent * 908539d - targets/nexys4ddr: fix typo * bb99a8d - targets/kcu105: remove main_ram_size_limit * migen changed from 0.6.dev-328-gd11565a to 0.6.dev-335-g3f9809b * 3f9809b - platforms: add zc706 + coraz7_07s * e2e6c72 - sayma: sata -> fat_pipe * 7a54c79 - metlino: add ddmtd_helper_clk * 56e1b4e - metlino: add DCXO control signals * 084e2a2 - metlino: add clock muxes * 4d4d055 - metlino: add SFPs * 2480d49 - metlino: fix clk200 * nmigen changed from f207f3f to 8f5a253 * 8f5a253 - rm travis-ci * 63a53fa - Revert "setup: update project URLs." * b2d924e - Merge remote-tracking branch 'wq/master' |\ | * 12c7902 - vendor: fix a few issues in commit 2f8669ca. | * 2f8669c - lib.cdc: extract AsyncFFSynchronizer. | * a14a572 - hdl.ast: fix off-by-1 in Initial.__init__(). | * ec7aee6 - back.pysim: fix RHS codegen for Cat() and Repl(..., 0). | * 377f2d9 - back.pysim: optionally allow introspecting generated code. | * 5ae8791 - nmigen.compat.genlib.cdc: add PulseSynchronizer. | * fcbabfe - nmigen.lib.cdc: port PulseSynchronizer. | * 71d9eea - Travis: prune dependencies. | * 3fd7fe7 - Travis: test on Python 3.8. | * 57b08db - cli: update use of deprecated code. | * 8947096 - back.pysim: accept write_vcd(vcd_file=None). | * 38aa9fb - setup: update project URLs. | * 4f17cb1 - doc: remove outdated files and references to them. | * 66f4510 - README: link to IRC channel. | * 36f498e - README: consolidate requirements in the Installation section. | * 3b67271 - test_build_res: fix after commit 3e2ecdf2. | * 3e2ecdf - build.res,vendor: place clock constraint on port, not net, if possible. | * 5888f29 - xilinx_{7series,ultrascale}: run `report_methodology`. | * 27b47fa - hdl.ast: add Value.{as_signed,as_unsigned}. | * 9301e31 - test_lib_fifo: define all referenced FSM states. | * a1c5863 - hdl.dsl: make referencing undefined FSM states an error. | * 97cc78a - hdl.ir: type check ports. | * 882fddf - back.pysim: emit toplevel inputs in VCD files as well. | * d3775ee - back.pysim: make `write_vcd(traces=)` actually use those traces. | * 3df4297 - hdl.dsl: reject name mismatch in `m.domains. +=`. | * 86b57fe - hdl.dsl: type check when adding to m.domains. | * 31cd72c - hdl.mem: add synthesis attribute support. | * f7abe36 - hdl.mem: document Memory. * | 57d95b7 - Merge branch 'master' of https://github.com/nmigen/nmigen |\| | * dfcf793 - hdl.{ast,dsl}: allow whitespace in bit patterns. * | 7245b1e - Update README. * | 60447a0 - Merge branch 'master' of https://github.com/nmigen/nmigen |\| | * a295e35 - hdl.ast: update documentation for Signal. | * 49758a3 - hdl.ast: prohibit shifts by signed value. | * cce6b86 - build.plat: align pipeline with Fragment.prepare(). | * 6fd7cba - hdl.dsl: don't allow inheriting from Module. | * afece15 - hdl.ast: warn on unused property statements (Assert, Assume, etc). | * 9fb4a4f - _unused: extract must-use logic from hdl.ir. | * 687d3a3 - hdl.dsl: add missing case width check for Enum values. | * a9da9ef - README: clarify relationship to Migen. | * 9964fc6 - hdl.dsl: make `if m.{If,Elif,Else}(...)` a syntax error. | * 3ac13eb - back.rtlil: don't emit wires for empty signals. | * b72c3fc - vendor.lattice_ecp5: support internal oscillator (OSCG). | * ec3a219 - build.dsl: allow strings to be used as connector numbers. | * 7792a6c - vendor.lattice_{ice40,ecp5}: Support .il (RTLIL) files in extra_files | * c280c7c - Update README. * | c42c3a0 - vendor.lattice_{ice40,ecp5}: Support .il (RTLIL) files in extra_files |/ * a7be3b4 - hdl.ir: resolve hierarchy conflicts before creating missing domains. * 7cb3095 - hdl.xfrm: transform drivers as well in DomainRenamer. * e18385b - Remove everything deprecated in nmigen 0.1. * e4e2671 - Signal: allow to use integral Enum for reset value. * 8184efd - vendor.intel: fix output enable width for XDR=0 case. * 63902dd - build.run: fix indentation. * 476ce15 - back.rtlil: do not consider unreachable array elements when legalizing. * 318274d - hdl.mem: fix src_loc_at in ReadPort, WritePort. * 6765021 - hdl.ast: Fix width for unary minus operator on signed argument. * 7650431 - back.pysim: fix miscompilation of Signal(unsigned) - Signal(signed). * d048f06 - hdl.ast: actually remove simulator commands. * 72cfdb0 - vendor.intel: silence meaningless warnings in nMigen files * 7df7005 - back.pysim: redesign the simulator. * f8428ff - back.rtlil: infer bit width for instance parameters. * 56bb42a - hdl.ir: for instance ports, prioritize defs over uses. Full submodule status -- 3a6108a75be356a3dc53760d22782f1323248b6b edid-decode (heads/master) 3a06aa84b62ad24467fb0d2c6ceddf565e9ea447 flash_proxies (heads/master) b06e946d09807f3ab9b2e72f9c599851ab8221b4 litedram (remotes/origin/HEAD) fb478537e7d45512567b9b35b5a69c536cb588b2 liteeth (remotes/origin/HEAD) 370855d8edceda29b60a6df3cf35d5fc8602812d liteiclink (remotes/origin/HEAD) 5b7e7cde0814e1c8337f60f6bc7b3a20d5518136 litepcie (remotes/origin/HEAD) 1e3573b07d382eac50ef764fd839009bf90cb8ce litesata (heads/master) b3d1e6938f42045ade1fcb10aa2498722a4ea041 litescope (remotes/origin/HEAD) 7457a29b1a47fe15e81fa37f3bbdd510788f1d53 liteusb (heads/master) 49d812694951a924617d8e429d72c0d4da96372a litevideo (remotes/origin/HEAD) 536ae0e619e3d9820f4e9ff8f33dc2829bc68398 litex (remotes/origin/s7mmcm_fractional_divide-4-g536ae0e6) a7fbe0a724a1b2fd788699def52c89a05cd556e5 litex-boards (remotes/origin/HEAD) 2ed761f4c138f0237a7dca8d8dd45cea0b3c24d1 litex-renode (remotes/origin/HEAD) 3f9809b0ea62b26f6c99f1b5221b22f8255bc1f6 migen (0.6.dev-335-g3f9809b) 8f5a253b22cd4ebcd56304a3662f4c70e3b34ed5 nmigen (v0.1-69-g8f5a253) --- third_party/litedram | 2 +- third_party/liteeth | 2 +- third_party/liteiclink | 2 +- third_party/litex | 2 +- third_party/litex-boards | 2 +- third_party/migen | 2 +- third_party/nmigen | 2 +- 7 files changed, 7 insertions(+), 7 deletions(-) diff --git a/third_party/litedram b/third_party/litedram index 4cfbc71fc..b06e946d0 160000 --- a/third_party/litedram +++ b/third_party/litedram @@ -1 +1 @@ -Subproject commit 4cfbc71fc2e2561e82c984c074094a297c9d32e8 +Subproject commit b06e946d09807f3ab9b2e72f9c599851ab8221b4 diff --git a/third_party/liteeth b/third_party/liteeth index f532a12b4..fb478537e 160000 --- a/third_party/liteeth +++ b/third_party/liteeth @@ -1 +1 @@ -Subproject commit f532a12b40648e84cef626e9343f428e5e366fb4 +Subproject commit fb478537e7d45512567b9b35b5a69c536cb588b2 diff --git a/third_party/liteiclink b/third_party/liteiclink index 864cd831f..370855d8e 160000 --- a/third_party/liteiclink +++ b/third_party/liteiclink @@ -1 +1 @@ -Subproject commit 864cd831f3475dffd1c92d6d4a1b86608680bcf2 +Subproject commit 370855d8edceda29b60a6df3cf35d5fc8602812d diff --git a/third_party/litex b/third_party/litex index 02bfda5e3..536ae0e61 160000 --- a/third_party/litex +++ b/third_party/litex @@ -1 +1 @@ -Subproject commit 02bfda5e38f33c66e2fe9bb2f63ec02756657233 +Subproject commit 536ae0e619e3d9820f4e9ff8f33dc2829bc68398 diff --git a/third_party/litex-boards b/third_party/litex-boards index 84164f8fa..a7fbe0a72 160000 --- a/third_party/litex-boards +++ b/third_party/litex-boards @@ -1 +1 @@ -Subproject commit 84164f8fab5c65e2dd828ed20422d14026f5b140 +Subproject commit a7fbe0a724a1b2fd788699def52c89a05cd556e5 diff --git a/third_party/migen b/third_party/migen index d11565a8e..3f9809b0e 160000 --- a/third_party/migen +++ b/third_party/migen @@ -1 +1 @@ -Subproject commit d11565a8ead28eb5a18d7d4f57abe2a7562cdc8c +Subproject commit 3f9809b0ea62b26f6c99f1b5221b22f8255bc1f6 diff --git a/third_party/nmigen b/third_party/nmigen index f207f3f62..8f5a253b2 160000 --- a/third_party/nmigen +++ b/third_party/nmigen @@ -1 +1 @@ -Subproject commit f207f3f62098a56d24de90bb833f02eedf55b054 +Subproject commit 8f5a253b22cd4ebcd56304a3662f4c70e3b34ed5