diff --git a/grammar.js b/grammar.js index 55df98e..33cf7bd 100644 --- a/grammar.js +++ b/grammar.js @@ -62,6 +62,7 @@ function createCaseInsensitiveRegex(word) { ); } + module.exports = grammar({ name: "sql", extras: $ => [$.comment, /[\s\f\uFEFF\u2060\u200B]|\\\r?\n/], @@ -77,8 +78,9 @@ module.exports = grammar({ $.rollback_statement, $.select_statement, $.update_statement, - $.set_statement, $.insert_statement, + $.delete_statement, + $.set_statement, $.grant_statement, $.drop_statement, $.create_statement, @@ -102,6 +104,31 @@ module.exports = grammar({ rollback_statement: $ => seq(kw("ROLLBACK"), optional(choice(kw("WORK"), kw("TRANSACTION")))), + with_clause: $ => seq( + kw('WITH'), + optional(kw('RECURSIVE')), + commaSep1($.cte), + ), + + cte: $ => seq( + $.identifier, + kw('AS'), + optional(seq(optional(kw('NOT')), kw('MATERIALIZED'))), + '(', + choice( + $.select_statement, + $.delete_statement, + $.insert_statement, + $.update_statement, + ), + ')', + ), + + select_statement: $ => seq(optional($.with_clause), $._select_statement), + insert_statement: $ => seq(optional($.with_clause), $._insert_statement), + update_statement: $ => seq(optional($.with_clause), $._update_statement), + delete_statement: $ => seq(optional($.with_clause), $._delete_statement), + create_statement: $ => seq( kw("CREATE"), @@ -119,7 +146,10 @@ module.exports = grammar({ optional(kw("IF EXISTS")), optional(kw("ONLY")), $._identifier, - $.alter_table_action, + choice( + $.alter_table_action, + $.alter_table_rename_column, + ) ), alter_table_action_alter_column: $ => seq( @@ -134,6 +164,14 @@ module.exports = grammar({ choice(seq(kw("COLUMN"), $.table_column), $._table_constraint), ), alter_table_action_set: $ => seq(kw("SET"), $._expression), + alter_table_rename_column: $ => + seq( + kw("RENAME"), + optional(kw("COLUMN")), + $._identifier, + kw("TO"), + $._identifier + ), alter_table_action: $ => choice( $.alter_table_action_add, @@ -208,12 +246,12 @@ module.exports = grammar({ create_extension_statement: $ => seq(kw("CREATE EXTENSION"), optional(kw("IF NOT EXISTS")), $._identifier), create_role_statement: $ => - seq( + prec.left(seq( kw("CREATE ROLE"), $._identifier, optional(kw("WITH")), optional($._identifier), - ), + )), create_schema_statement: $ => seq(kw("CREATE SCHEMA"), optional(kw("IF NOT EXISTS")), $._identifier), drop_statement: $ => @@ -232,7 +270,7 @@ module.exports = grammar({ choice($._expression, kw("DEFAULT")), ), grant_statement: $ => - seq( + prec.left(seq( kw("GRANT"), choice( seq(kw("ALL"), optional(kw("PRIVILEGES"))), @@ -260,7 +298,7 @@ module.exports = grammar({ kw("TO"), choice(seq(optional(kw("GROUP")), $.identifier), kw("PUBLIC")), optional(kw("WITH GRANT OPTION")), - ), + )), create_domain_statement: $ => seq( kw("CREATE DOMAIN"), @@ -288,7 +326,7 @@ module.exports = grammar({ create_index_include_clause: $ => seq(kw("INCLUDE"), "(", commaSep1($.identifier), ")"), create_index_statement: $ => - seq( + prec.right(seq( kw("CREATE"), optional($.unique_constraint), kw("INDEX"), @@ -300,9 +338,9 @@ module.exports = grammar({ optional($.create_index_include_clause), optional($.create_index_with_clause), optional($.where_clause), - ), + )), table_column: $ => - seq( + prec.left(seq( field("name", $._identifier), field("type", $._type), repeat( @@ -316,14 +354,11 @@ module.exports = grammar({ $.named_constraint, $.direction_constraint, $.auto_increment_constraint, - $.time_zone_constraint, ), ), - ), + )), auto_increment_constraint: _ => kw("AUTO_INCREMENT"), direction_constraint: _ => choice(kw("ASC"), kw("DESC")), - time_zone_constraint: _ => - seq(choice(kw("WITH"), kw("WITHOUT")), kw("TIME ZONE")), named_constraint: $ => seq("CONSTRAINT", $.identifier), _column_default_expression: $ => choice( @@ -393,7 +428,10 @@ module.exports = grammar({ kw("TABLE"), optional(kw("IF NOT EXISTS")), $._identifier, - $.table_parameters, + choice( + seq(kw("AS"), $.select_statement), + $.table_parameters, + ) ), using_clause: $ => seq(kw("USING"), field("method", $.identifier)), index_table_parameters: $ => @@ -409,7 +447,7 @@ module.exports = grammar({ ), // SELECT - select_statement: $ => + _select_statement: $ => seq( $.select_clause, optional($.from_clause), @@ -417,11 +455,23 @@ module.exports = grammar({ optional($.where_clause), optional($.group_by_clause), optional($.order_by_clause), + optional($.limit_clause), ), group_by_clause_body: $ => commaSep1($._expression), group_by_clause: $ => seq(kw("GROUP BY"), $.group_by_clause_body), order_by_clause_body: $ => commaSep1($._expression), - order_by_clause: $ => seq(kw("ORDER BY"), $.order_by_clause_body), + order_by_clause: $ => seq( + kw("ORDER BY"), + $.order_by_clause_body, + optional(field("order", choice( + kw("ASC"), + kw("DESC") + ))) + ), + limit_clause: $ => seq(kw("LIMIT"), $.number, optional(seq( + choice(kw("OFFSET"), ","), // MySQL LIMIT a, b + $.number + ))), where_clause: $ => seq(kw("WHERE"), $._expression), _aliased_expression: $ => seq($._expression, optional(kw("AS")), $.identifier), @@ -445,16 +495,20 @@ module.exports = grammar({ seq( optional($.join_type), kw("JOIN"), - $._identifier, + $._aliasable_expression, kw("ON"), $._expression, ), - select_subexpression: $ => seq("(", $.select_statement, ")"), + select_subexpression: $ => prec(1, seq(optional(kw('LATERAL')), "(", $.select_statement, ")")), // UPDATE - update_statement: $ => - seq(kw("UPDATE"), $.identifier, $.set_clause, optional($.where_clause)), - + _update_statement: $ => seq( + kw("UPDATE"), + $.identifier, + $.set_clause, + optional($.from_clause), + optional($.where_clause) + ), set_clause: $ => seq(kw("SET"), $.set_clause_body), set_clause_body: $ => seq(commaSep1($.assigment_expression)), assigment_expression: $ => seq($.identifier, "=", $._expression), @@ -469,8 +523,28 @@ module.exports = grammar({ ), values_clause: $ => seq(kw("VALUES"), "(", $.values_clause_body, ")"), values_clause_body: $ => commaSep1($._expression), - in_expression: $ => - prec.left(1, seq($._expression, optional(kw("NOT")), kw("IN"), $.tuple)), + + // DELETE + // TODO: support returning clauses + _delete_statement: $ => seq(kw("DELETE"), $.from_clause, optional($.where_clause)), + + conditional_expression: $ => seq( + kw('CASE'), + repeat1(seq( + kw('WHEN'), + $._expression, + kw('THEN'), + $._expression, + )), + optional(seq(kw('ELSE'), $._expression)), + kw('END') + ), + + in_expression: $ => prec.left(PREC.comparative, seq( + $._expression, + optional(kw("NOT")), kw("IN"), + $.tuple + )), tuple: $ => seq( // TODO: maybe collapse with function arguments, but make sure to preserve clarity @@ -513,11 +587,10 @@ module.exports = grammar({ _parenthesized_expression: $ => seq("(", $._expression, ")"), is_expression: $ => prec.left( - 1, + PREC.comparative, seq( $._expression, - kw("IS"), - optional(kw("NOT")), + kw("IS"), optional(kw("NOT")), choice($.NULL, $.TRUE, $.FALSE, $.distinct_from), ), ), @@ -528,6 +601,11 @@ module.exports = grammar({ prec.left(PREC.and, seq($._expression, kw("AND"), $._expression)), prec.left(PREC.or, seq($._expression, kw("OR"), $._expression)), ), + at_time_zone_expression: $ => prec.left(PREC.primary, seq( + $._expression, + kw('AT TIME ZONE'), + $._expression + )), NULL: $ => kw("NULL"), TRUE: $ => kw("TRUE"), FALSE: $ => kw("FALSE"), @@ -542,16 +620,35 @@ module.exports = grammar({ identifier: $ => choice($._unquoted_identifier, $._quoted_identifier), dotted_name: $ => prec.left(PREC.primary, sep2($.identifier, ".")), _identifier: $ => choice($.identifier, $.dotted_name), - type: $ => seq($._identifier, optional(seq("(", $.number, ")"))), string: $ => choice( seq("'", field("content", /[^']*/), "'"), seq("$$", field("content", /(\$?[^$]+)+/), "$$"), // FIXME empty string test, maybe read a bit more into c comments answer ), - field_access: $ => seq($.identifier, "->>", $.string), + json_access: $ => seq( + $._expression, + choice( + "->", + "->>", + "#>", + "#>>", + ), + choice($.string, $.number) + ), ordered_expression: $ => seq($._expression, field("order", choice(kw("ASC"), kw("DESC")))), - array_type: $ => seq($._type, "[", "]"), + + type: $ => prec.right(seq( + $._identifier, + optional(kw("VARYING")), // CHARACTER/BIT VARYING + optional(kw("PRECISION")), // DOUBLE PRECISION + optional(seq("(", $.number, ")")), + optional(seq( + choice(kw("WITH"),kw("WITHOUT")), + kw("TIME ZONE") + )), // TIME/TIMESTAMP (n) WITH/WITHOUT TIME ZONE + )), + array_type: $ => prec.right(seq($._type, repeat1(seq("[", optional($.number), "]")))), _type: $ => choice($.type, $.array_type), type_cast: $ => seq( @@ -559,12 +656,13 @@ module.exports = grammar({ choice( $._parenthesized_expression, $.string, - $.identifier, + $._identifier, $.function_call, ), "::", field("type", $._type), ), + // http://stackoverflow.com/questions/13014947/regex-to-match-a-c-style-multiline-comment/36328890#36328890 comment: $ => token( @@ -624,7 +722,7 @@ module.exports = grammar({ $.interval_expression, $.function_call, $.string, - $.field_access, + $.json_access, $.TRUE, $.FALSE, $.NULL, @@ -638,9 +736,11 @@ module.exports = grammar({ $.type_cast, $.unary_expression, $.binary_expression, + $.conditional_expression, $.array_element_access, $.argument_reference, $.select_subexpression, + $.at_time_zone_expression, ), }, }); diff --git a/src/grammar.json b/src/grammar.json index 346f6dd..7c001a9 100644 --- a/src/grammar.json +++ b/src/grammar.json @@ -40,11 +40,15 @@ }, { "type": "SYMBOL", - "name": "set_statement" + "name": "insert_statement" }, { "type": "SYMBOL", - "name": "insert_statement" + "name": "delete_statement" + }, + { + "type": "SYMBOL", + "name": "set_statement" }, { "type": "SYMBOL", @@ -245,6 +249,251 @@ } ] }, + "with_clause": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "PATTERN", + "value": "[wW][iI][tT][hH]" + }, + "named": false, + "value": "WITH" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "PATTERN", + "value": "[rR][eE][cC][uU][rR][sS][iI][vV][eE]" + }, + "named": false, + "value": "RECURSIVE" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "cte" + }, + { + "type": "REPEAT", + "content": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "," + }, + { + "type": "SYMBOL", + "name": "cte" + } + ] + } + } + ] + } + ] + }, + "cte": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "identifier" + }, + { + "type": "ALIAS", + "content": { + "type": "PATTERN", + "value": "[aA][sS]" + }, + "named": false, + "value": "AS" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "PATTERN", + "value": "[nN][oO][tT]" + }, + "named": false, + "value": "NOT" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "PATTERN", + "value": "[mM][aA][tT][eE][rR][iI][aA][lL][iI][zZ][eE][dD]" + }, + "named": false, + "value": "MATERIALIZED" + } + ] + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": "(" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "select_statement" + }, + { + "type": "SYMBOL", + "name": "delete_statement" + }, + { + "type": "SYMBOL", + "name": "insert_statement" + }, + { + "type": "SYMBOL", + "name": "update_statement" + } + ] + }, + { + "type": "STRING", + "value": ")" + } + ] + }, + "select_statement": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "with_clause" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "SYMBOL", + "name": "_select_statement" + } + ] + }, + "insert_statement": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "PATTERN", + "value": "[iI][nN][sS][eE][rR][tT]" + }, + "named": false, + "value": "INSERT" + }, + { + "type": "ALIAS", + "content": { + "type": "PATTERN", + "value": "[iI][nN][tT][oO]" + }, + "named": false, + "value": "INTO" + }, + { + "type": "SYMBOL", + "name": "_identifier" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "values_clause" + }, + { + "type": "SYMBOL", + "name": "select_statement" + } + ] + } + ] + }, + "update_statement": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "with_clause" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "SYMBOL", + "name": "_update_statement" + } + ] + }, + "delete_statement": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "with_clause" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "SYMBOL", + "name": "_delete_statement" + } + ] + }, "create_statement": { "type": "SEQ", "members": [ @@ -396,8 +645,17 @@ "name": "_identifier" }, { - "type": "SYMBOL", - "name": "alter_table_action" + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "alter_table_action" + }, + { + "type": "SYMBOL", + "name": "alter_table_rename_column" + } + ] } ] }, @@ -509,12 +767,60 @@ } ] }, - "alter_table_action": { - "type": "CHOICE", + "alter_table_rename_column": { + "type": "SEQ", "members": [ { - "type": "SYMBOL", - "name": "alter_table_action_add" + "type": "ALIAS", + "content": { + "type": "PATTERN", + "value": "[rR][eE][nN][aA][mM][eE]" + }, + "named": false, + "value": "RENAME" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "PATTERN", + "value": "[cC][oO][lL][uU][mM][nN]" + }, + "named": false, + "value": "COLUMN" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "SYMBOL", + "name": "_identifier" + }, + { + "type": "ALIAS", + "content": { + "type": "PATTERN", + "value": "[tT][oO]" + }, + "named": false, + "value": "TO" + }, + { + "type": "SYMBOL", + "name": "_identifier" + } + ] + }, + "alter_table_action": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "alter_table_action_add" }, { "type": "SYMBOL", @@ -1332,60 +1638,64 @@ ] }, "create_role_statement": { - "type": "SEQ", - "members": [ - { - "type": "ALIAS", - "content": { - "type": "SEQ", + "type": "PREC_LEFT", + "value": 0, + "content": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "SEQ", + "members": [ + { + "type": "PATTERN", + "value": "[cC][rR][eE][aA][tT][eE]" + }, + { + "type": "PATTERN", + "value": "[rR][oO][lL][eE]" + } + ] + }, + "named": false, + "value": "CREATE_ROLE" + }, + { + "type": "SYMBOL", + "name": "_identifier" + }, + { + "type": "CHOICE", "members": [ { - "type": "PATTERN", - "value": "[cC][rR][eE][aA][tT][eE]" + "type": "ALIAS", + "content": { + "type": "PATTERN", + "value": "[wW][iI][tT][hH]" + }, + "named": false, + "value": "WITH" }, { - "type": "PATTERN", - "value": "[rR][oO][lL][eE]" + "type": "BLANK" } ] }, - "named": false, - "value": "CREATE_ROLE" - }, - { - "type": "SYMBOL", - "name": "_identifier" - }, - { - "type": "CHOICE", - "members": [ - { - "type": "ALIAS", - "content": { - "type": "PATTERN", - "value": "[wW][iI][tT][hH]" + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "_identifier" }, - "named": false, - "value": "WITH" - }, - { - "type": "BLANK" - } - ] - }, - { - "type": "CHOICE", - "members": [ - { - "type": "SYMBOL", - "name": "_identifier" - }, - { - "type": "BLANK" - } - ] - } - ] + { + "type": "BLANK" + } + ] + } + ] + } }, "create_schema_statement": { "type": "SEQ", @@ -1603,213 +1913,150 @@ ] }, "grant_statement": { - "type": "SEQ", - "members": [ - { - "type": "ALIAS", - "content": { - "type": "PATTERN", - "value": "[gG][rR][aA][nN][tT]" + "type": "PREC_LEFT", + "value": 0, + "content": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "PATTERN", + "value": "[gG][rR][aA][nN][tT]" + }, + "named": false, + "value": "GRANT" }, - "named": false, - "value": "GRANT" - }, - { - "type": "CHOICE", - "members": [ - { - "type": "SEQ", - "members": [ - { - "type": "ALIAS", - "content": { - "type": "PATTERN", - "value": "[aA][lL][lL]" + { + "type": "CHOICE", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "PATTERN", + "value": "[aA][lL][lL]" + }, + "named": false, + "value": "ALL" }, - "named": false, - "value": "ALL" - }, - { + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "PATTERN", + "value": "[pP][rR][iI][vV][iI][lL][eE][gG][eE][sS]" + }, + "named": false, + "value": "PRIVILEGES" + }, + { + "type": "BLANK" + } + ] + } + ] + }, + { + "type": "REPEAT", + "content": { "type": "CHOICE", "members": [ { "type": "ALIAS", "content": { "type": "PATTERN", - "value": "[pP][rR][iI][vV][iI][lL][eE][gG][eE][sS]" + "value": "[sS][eE][lL][eE][cC][tT]" }, "named": false, - "value": "PRIVILEGES" + "value": "SELECT" }, { - "type": "BLANK" - } - ] - } - ] - }, - { - "type": "REPEAT", - "content": { - "type": "CHOICE", - "members": [ - { - "type": "ALIAS", - "content": { - "type": "PATTERN", - "value": "[sS][eE][lL][eE][cC][tT]" - }, - "named": false, - "value": "SELECT" - }, - { - "type": "ALIAS", - "content": { - "type": "PATTERN", - "value": "[iI][nN][sS][eE][rR][tT]" + "type": "ALIAS", + "content": { + "type": "PATTERN", + "value": "[iI][nN][sS][eE][rR][tT]" + }, + "named": false, + "value": "INSERT" }, - "named": false, - "value": "INSERT" - }, - { - "type": "ALIAS", - "content": { - "type": "PATTERN", - "value": "[uU][pP][dD][aA][tT][eE]" + { + "type": "ALIAS", + "content": { + "type": "PATTERN", + "value": "[uU][pP][dD][aA][tT][eE]" + }, + "named": false, + "value": "UPDATE" }, - "named": false, - "value": "UPDATE" - }, - { - "type": "ALIAS", - "content": { - "type": "PATTERN", - "value": "[dD][eE][lL][eE][tT][eE]" + { + "type": "ALIAS", + "content": { + "type": "PATTERN", + "value": "[dD][eE][lL][eE][tT][eE]" + }, + "named": false, + "value": "DELETE" }, - "named": false, - "value": "DELETE" - }, - { - "type": "ALIAS", - "content": { - "type": "PATTERN", - "value": "[tT][rR][uU][nN][cC][aA][tT][eE]" + { + "type": "ALIAS", + "content": { + "type": "PATTERN", + "value": "[tT][rR][uU][nN][cC][aA][tT][eE]" + }, + "named": false, + "value": "TRUNCATE" }, - "named": false, - "value": "TRUNCATE" - }, - { - "type": "ALIAS", - "content": { - "type": "PATTERN", - "value": "[rR][eE][fF][eE][rR][eE][nN][cC][eE][sS]" - }, - "named": false, - "value": "REFERENCES" - }, - { - "type": "ALIAS", - "content": { - "type": "PATTERN", - "value": "[tT][rR][iI][gG][gG][eE][rR]" - }, - "named": false, - "value": "TRIGGER" - }, - { - "type": "ALIAS", - "content": { - "type": "PATTERN", - "value": "[uU][sS][aA][gG][eE]" - }, - "named": false, - "value": "USAGE" - } - ] - } - } - ] - }, - { - "type": "ALIAS", - "content": { - "type": "PATTERN", - "value": "[oO][nN]" - }, - "named": false, - "value": "ON" - }, - { - "type": "FIELD", - "name": "type", - "content": { - "type": "CHOICE", - "members": [ - { - "type": "CHOICE", - "members": [ - { - "type": "ALIAS", - "content": { - "type": "PATTERN", - "value": "[sS][cC][hH][eE][mM][aA]" - }, - "named": false, - "value": "SCHEMA" - }, - { - "type": "ALIAS", - "content": { - "type": "PATTERN", - "value": "[dD][aA][tT][aA][bB][aA][sS][eE]" - }, - "named": false, - "value": "DATABASE" - }, - { - "type": "ALIAS", - "content": { - "type": "PATTERN", - "value": "[sS][eE][qQ][uU][eE][nN][cC][eE]" + { + "type": "ALIAS", + "content": { + "type": "PATTERN", + "value": "[rR][eE][fF][eE][rR][eE][nN][cC][eE][sS]" + }, + "named": false, + "value": "REFERENCES" }, - "named": false, - "value": "SEQUENCE" - }, - { - "type": "ALIAS", - "content": { - "type": "PATTERN", - "value": "[tT][aA][bB][lL][eE]" + { + "type": "ALIAS", + "content": { + "type": "PATTERN", + "value": "[tT][rR][iI][gG][gG][eE][rR]" + }, + "named": false, + "value": "TRIGGER" }, - "named": false, - "value": "TABLE" - } - ] - }, - { - "type": "BLANK" + { + "type": "ALIAS", + "content": { + "type": "PATTERN", + "value": "[uU][sS][aA][gG][eE]" + }, + "named": false, + "value": "USAGE" + } + ] + } } ] - } - }, - { - "type": "SYMBOL", - "name": "_identifier" - }, - { - "type": "ALIAS", - "content": { - "type": "PATTERN", - "value": "[tT][oO]" }, - "named": false, - "value": "TO" - }, - { - "type": "CHOICE", - "members": [ - { - "type": "SEQ", + { + "type": "ALIAS", + "content": { + "type": "PATTERN", + "value": "[oO][nN]" + }, + "named": false, + "value": "ON" + }, + { + "type": "FIELD", + "name": "type", + "content": { + "type": "CHOICE", "members": [ { "type": "CHOICE", @@ -1818,64 +2065,131 @@ "type": "ALIAS", "content": { "type": "PATTERN", - "value": "[gG][rR][oO][uU][pP]" + "value": "[sS][cC][hH][eE][mM][aA]" }, "named": false, - "value": "GROUP" + "value": "SCHEMA" }, { - "type": "BLANK" + "type": "ALIAS", + "content": { + "type": "PATTERN", + "value": "[dD][aA][tT][aA][bB][aA][sS][eE]" + }, + "named": false, + "value": "DATABASE" + }, + { + "type": "ALIAS", + "content": { + "type": "PATTERN", + "value": "[sS][eE][qQ][uU][eE][nN][cC][eE]" + }, + "named": false, + "value": "SEQUENCE" + }, + { + "type": "ALIAS", + "content": { + "type": "PATTERN", + "value": "[tT][aA][bB][lL][eE]" + }, + "named": false, + "value": "TABLE" } ] }, { - "type": "SYMBOL", - "name": "identifier" + "type": "BLANK" } ] - }, - { - "type": "ALIAS", - "content": { - "type": "PATTERN", - "value": "[pP][uU][bB][lL][iI][cC]" - }, - "named": false, - "value": "PUBLIC" } - ] - }, - { - "type": "CHOICE", - "members": [ - { - "type": "ALIAS", - "content": { + }, + { + "type": "SYMBOL", + "name": "_identifier" + }, + { + "type": "ALIAS", + "content": { + "type": "PATTERN", + "value": "[tT][oO]" + }, + "named": false, + "value": "TO" + }, + { + "type": "CHOICE", + "members": [ + { "type": "SEQ", "members": [ { - "type": "PATTERN", - "value": "[wW][iI][tT][hH]" - }, - { - "type": "PATTERN", - "value": "[gG][rR][aA][nN][tT]" + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "PATTERN", + "value": "[gG][rR][oO][uU][pP]" + }, + "named": false, + "value": "GROUP" + }, + { + "type": "BLANK" + } + ] }, { - "type": "PATTERN", - "value": "[oO][pP][tT][iI][oO][nN]" + "type": "SYMBOL", + "name": "identifier" } ] }, - "named": false, - "value": "WITH_GRANT_OPTION" - }, - { - "type": "BLANK" - } - ] - } - ] + { + "type": "ALIAS", + "content": { + "type": "PATTERN", + "value": "[pP][uU][bB][lL][iI][cC]" + }, + "named": false, + "value": "PUBLIC" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "SEQ", + "members": [ + { + "type": "PATTERN", + "value": "[wW][iI][tT][hH]" + }, + { + "type": "PATTERN", + "value": "[gG][rR][aA][nN][tT]" + }, + { + "type": "PATTERN", + "value": "[oO][pP][tT][iI][oO][nN]" + } + ] + }, + "named": false, + "value": "WITH_GRANT_OPTION" + }, + { + "type": "BLANK" + } + ] + } + ] + } }, "create_domain_statement": { "type": "SEQ", @@ -2072,185 +2386,189 @@ ] }, "create_index_statement": { - "type": "SEQ", - "members": [ - { - "type": "ALIAS", - "content": { - "type": "PATTERN", - "value": "[cC][rR][eE][aA][tT][eE]" - }, - "named": false, - "value": "CREATE" - }, - { - "type": "CHOICE", - "members": [ - { - "type": "SYMBOL", - "name": "unique_constraint" - }, - { - "type": "BLANK" - } - ] - }, - { - "type": "ALIAS", - "content": { - "type": "PATTERN", - "value": "[iI][nN][dD][eE][xX]" - }, - "named": false, - "value": "INDEX" - }, - { - "type": "FIELD", - "name": "name", - "content": { - "type": "SYMBOL", - "name": "_identifier" - } - }, - { - "type": "ALIAS", - "content": { - "type": "PATTERN", - "value": "[oO][nN]" - }, - "named": false, - "value": "ON" - }, - { - "type": "FIELD", - "name": "table_name", - "content": { - "type": "SYMBOL", - "name": "_identifier" - } - }, - { - "type": "CHOICE", - "members": [ - { - "type": "SYMBOL", - "name": "using_clause" - }, - { - "type": "BLANK" - } - ] - }, - { - "type": "SYMBOL", - "name": "index_table_parameters" - }, - { - "type": "CHOICE", - "members": [ - { - "type": "SYMBOL", - "name": "create_index_include_clause" - }, - { - "type": "BLANK" - } - ] - }, - { - "type": "CHOICE", - "members": [ - { - "type": "SYMBOL", - "name": "create_index_with_clause" - }, - { - "type": "BLANK" - } - ] - }, - { - "type": "CHOICE", - "members": [ - { - "type": "SYMBOL", - "name": "where_clause" - }, - { - "type": "BLANK" - } - ] - } - ] - }, - "table_column": { - "type": "SEQ", - "members": [ - { - "type": "FIELD", - "name": "name", - "content": { - "type": "SYMBOL", - "name": "_identifier" - } - }, - { - "type": "FIELD", - "name": "type", - "content": { - "type": "SYMBOL", - "name": "_type" - } - }, - { - "type": "REPEAT", - "content": { + "type": "PREC_RIGHT", + "value": 0, + "content": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "PATTERN", + "value": "[cC][rR][eE][aA][tT][eE]" + }, + "named": false, + "value": "CREATE" + }, + { "type": "CHOICE", "members": [ { "type": "SYMBOL", - "name": "column_default" + "name": "unique_constraint" }, { - "type": "SYMBOL", - "name": "primary_key_constraint" - }, + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "PATTERN", + "value": "[iI][nN][dD][eE][xX]" + }, + "named": false, + "value": "INDEX" + }, + { + "type": "FIELD", + "name": "name", + "content": { + "type": "SYMBOL", + "name": "_identifier" + } + }, + { + "type": "ALIAS", + "content": { + "type": "PATTERN", + "value": "[oO][nN]" + }, + "named": false, + "value": "ON" + }, + { + "type": "FIELD", + "name": "table_name", + "content": { + "type": "SYMBOL", + "name": "_identifier" + } + }, + { + "type": "CHOICE", + "members": [ { "type": "SYMBOL", - "name": "check_constraint" + "name": "using_clause" }, { - "type": "SYMBOL", - "name": "references_constraint" - }, + "type": "BLANK" + } + ] + }, + { + "type": "SYMBOL", + "name": "index_table_parameters" + }, + { + "type": "CHOICE", + "members": [ { "type": "SYMBOL", - "name": "unique_constraint" + "name": "create_index_include_clause" }, { - "type": "SYMBOL", - "name": "null_constraint" - }, + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ { "type": "SYMBOL", - "name": "named_constraint" + "name": "create_index_with_clause" }, { - "type": "SYMBOL", - "name": "direction_constraint" - }, + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ { "type": "SYMBOL", - "name": "auto_increment_constraint" + "name": "where_clause" }, { - "type": "SYMBOL", - "name": "time_zone_constraint" + "type": "BLANK" } ] } - } - ] + ] + } + }, + "table_column": { + "type": "PREC_LEFT", + "value": 0, + "content": { + "type": "SEQ", + "members": [ + { + "type": "FIELD", + "name": "name", + "content": { + "type": "SYMBOL", + "name": "_identifier" + } + }, + { + "type": "FIELD", + "name": "type", + "content": { + "type": "SYMBOL", + "name": "_type" + } + }, + { + "type": "REPEAT", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "column_default" + }, + { + "type": "SYMBOL", + "name": "primary_key_constraint" + }, + { + "type": "SYMBOL", + "name": "check_constraint" + }, + { + "type": "SYMBOL", + "name": "references_constraint" + }, + { + "type": "SYMBOL", + "name": "unique_constraint" + }, + { + "type": "SYMBOL", + "name": "null_constraint" + }, + { + "type": "SYMBOL", + "name": "named_constraint" + }, + { + "type": "SYMBOL", + "name": "direction_constraint" + }, + { + "type": "SYMBOL", + "name": "auto_increment_constraint" + } + ] + } + } + ] + } }, "auto_increment_constraint": { "type": "ALIAS", @@ -2284,52 +2602,6 @@ } ] }, - "time_zone_constraint": { - "type": "SEQ", - "members": [ - { - "type": "CHOICE", - "members": [ - { - "type": "ALIAS", - "content": { - "type": "PATTERN", - "value": "[wW][iI][tT][hH]" - }, - "named": false, - "value": "WITH" - }, - { - "type": "ALIAS", - "content": { - "type": "PATTERN", - "value": "[wW][iI][tT][hH][oO][uU][tT]" - }, - "named": false, - "value": "WITHOUT" - } - ] - }, - { - "type": "ALIAS", - "content": { - "type": "SEQ", - "members": [ - { - "type": "PATTERN", - "value": "[tT][iI][mM][eE]" - }, - { - "type": "PATTERN", - "value": "[zZ][oO][nN][eE]" - } - ] - }, - "named": false, - "value": "TIME_ZONE" - } - ] - }, "named_constraint": { "type": "SEQ", "members": [ @@ -3021,8 +3293,31 @@ "name": "_identifier" }, { - "type": "SYMBOL", - "name": "table_parameters" + "type": "CHOICE", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "PATTERN", + "value": "[aA][sS]" + }, + "named": false, + "value": "AS" + }, + { + "type": "SYMBOL", + "name": "select_statement" + } + ] + }, + { + "type": "SYMBOL", + "name": "table_parameters" + } + ] } ] }, @@ -3138,7 +3433,7 @@ } ] }, - "select_statement": { + "_select_statement": { "type": "SEQ", "members": [ { @@ -3207,6 +3502,18 @@ "type": "BLANK" } ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "limit_clause" + }, + { + "type": "BLANK" + } + ] } ] }, @@ -3310,7 +3617,95 @@ }, { "type": "SYMBOL", - "name": "order_by_clause_body" + "name": "order_by_clause_body" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "FIELD", + "name": "order", + "content": { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "PATTERN", + "value": "[aA][sS][cC]" + }, + "named": false, + "value": "ASC" + }, + { + "type": "ALIAS", + "content": { + "type": "PATTERN", + "value": "[dD][eE][sS][cC]" + }, + "named": false, + "value": "DESC" + } + ] + } + }, + { + "type": "BLANK" + } + ] + } + ] + }, + "limit_clause": { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "PATTERN", + "value": "[lL][iI][mM][iI][tT]" + }, + "named": false, + "value": "LIMIT" + }, + { + "type": "SYMBOL", + "name": "number" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "PATTERN", + "value": "[oO][fF][fF][sS][eE][tT]" + }, + "named": false, + "value": "OFFSET" + }, + { + "type": "STRING", + "value": "," + } + ] + }, + { + "type": "SYMBOL", + "name": "number" + } + ] + }, + { + "type": "BLANK" + } + ] } ] }, @@ -3573,7 +3968,7 @@ }, { "type": "SYMBOL", - "name": "_identifier" + "name": "_aliasable_expression" }, { "type": "ALIAS", @@ -3591,23 +3986,44 @@ ] }, "select_subexpression": { - "type": "SEQ", - "members": [ - { - "type": "STRING", - "value": "(" - }, - { - "type": "SYMBOL", - "name": "select_statement" - }, - { - "type": "STRING", - "value": ")" - } - ] + "type": "PREC", + "value": 1, + "content": { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "PATTERN", + "value": "[lL][aA][tT][eE][rR][aA][lL]" + }, + "named": false, + "value": "LATERAL" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": "(" + }, + { + "type": "SYMBOL", + "name": "select_statement" + }, + { + "type": "STRING", + "value": ")" + } + ] + } }, - "update_statement": { + "_update_statement": { "type": "SEQ", "members": [ { @@ -3627,6 +4043,18 @@ "type": "SYMBOL", "name": "set_clause" }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "from_clause" + }, + { + "type": "BLANK" + } + ] + }, { "type": "CHOICE", "members": [ @@ -3706,87 +4134,125 @@ } ] }, - "insert_statement": { + "values_clause": { "type": "SEQ", "members": [ { "type": "ALIAS", "content": { "type": "PATTERN", - "value": "[iI][nN][sS][eE][rR][tT]" + "value": "[vV][aA][lL][uU][eE][sS]" }, "named": false, - "value": "INSERT" + "value": "VALUES" + }, + { + "type": "STRING", + "value": "(" + }, + { + "type": "SYMBOL", + "name": "values_clause_body" + }, + { + "type": "STRING", + "value": ")" + } + ] + }, + "values_clause_body": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_expression" }, + { + "type": "REPEAT", + "content": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "," + }, + { + "type": "SYMBOL", + "name": "_expression" + } + ] + } + } + ] + }, + "_delete_statement": { + "type": "SEQ", + "members": [ { "type": "ALIAS", "content": { "type": "PATTERN", - "value": "[iI][nN][tT][oO]" + "value": "[dD][eE][lL][eE][tT][eE]" }, "named": false, - "value": "INTO" + "value": "DELETE" }, { "type": "SYMBOL", - "name": "_identifier" + "name": "from_clause" }, { "type": "CHOICE", "members": [ { "type": "SYMBOL", - "name": "values_clause" + "name": "where_clause" }, { - "type": "SYMBOL", - "name": "select_statement" + "type": "BLANK" } ] } ] }, - "values_clause": { + "conditional_expression": { "type": "SEQ", "members": [ { "type": "ALIAS", "content": { "type": "PATTERN", - "value": "[vV][aA][lL][uU][eE][sS]" + "value": "[cC][aA][sS][eE]" }, "named": false, - "value": "VALUES" - }, - { - "type": "STRING", - "value": "(" - }, - { - "type": "SYMBOL", - "name": "values_clause_body" - }, - { - "type": "STRING", - "value": ")" - } - ] - }, - "values_clause_body": { - "type": "SEQ", - "members": [ - { - "type": "SYMBOL", - "name": "_expression" + "value": "CASE" }, { - "type": "REPEAT", + "type": "REPEAT1", "content": { "type": "SEQ", "members": [ { - "type": "STRING", - "value": "," + "type": "ALIAS", + "content": { + "type": "PATTERN", + "value": "[wW][hH][eE][nN]" + }, + "named": false, + "value": "WHEN" + }, + { + "type": "SYMBOL", + "name": "_expression" + }, + { + "type": "ALIAS", + "content": { + "type": "PATTERN", + "value": "[tT][hH][eE][nN]" + }, + "named": false, + "value": "THEN" }, { "type": "SYMBOL", @@ -3794,12 +4260,47 @@ } ] } + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "PATTERN", + "value": "[eE][lL][sS][eE]" + }, + "named": false, + "value": "ELSE" + }, + { + "type": "SYMBOL", + "name": "_expression" + } + ] + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "PATTERN", + "value": "[eE][nN][dD]" + }, + "named": false, + "value": "END" } ] }, "in_expression": { "type": "PREC_LEFT", - "value": 1, + "value": 3, "content": { "type": "SEQ", "members": [ @@ -4285,7 +4786,7 @@ }, "is_expression": { "type": "PREC_LEFT", - "value": 1, + "value": 3, "content": { "type": "SEQ", "members": [ @@ -4441,17 +4942,56 @@ "type": "PATTERN", "value": "[oO][rR]" }, - "named": false, - "value": "OR" - }, - { - "type": "SYMBOL", - "name": "_expression" - } - ] + "named": false, + "value": "OR" + }, + { + "type": "SYMBOL", + "name": "_expression" + } + ] + } + } + ] + }, + "at_time_zone_expression": { + "type": "PREC_LEFT", + "value": 8, + "content": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_expression" + }, + { + "type": "ALIAS", + "content": { + "type": "SEQ", + "members": [ + { + "type": "PATTERN", + "value": "[aA][tT]" + }, + { + "type": "PATTERN", + "value": "[tT][iI][mM][eE]" + }, + { + "type": "PATTERN", + "value": "[zZ][oO][nN][eE]" + } + ] + }, + "named": false, + "value": "AT_TIME_ZONE" + }, + { + "type": "SYMBOL", + "name": "_expression" } - } - ] + ] + } }, "NULL": { "type": "ALIAS", @@ -4590,40 +5130,6 @@ } ] }, - "type": { - "type": "SEQ", - "members": [ - { - "type": "SYMBOL", - "name": "_identifier" - }, - { - "type": "CHOICE", - "members": [ - { - "type": "SEQ", - "members": [ - { - "type": "STRING", - "value": "(" - }, - { - "type": "SYMBOL", - "name": "number" - }, - { - "type": "STRING", - "value": ")" - } - ] - }, - { - "type": "BLANK" - } - ] - } - ] - }, "string": { "type": "CHOICE", "members": [ @@ -4671,20 +5177,46 @@ } ] }, - "field_access": { + "json_access": { "type": "SEQ", "members": [ { "type": "SYMBOL", - "name": "identifier" + "name": "_expression" }, { - "type": "STRING", - "value": "->>" + "type": "CHOICE", + "members": [ + { + "type": "STRING", + "value": "->" + }, + { + "type": "STRING", + "value": "->>" + }, + { + "type": "STRING", + "value": "#>" + }, + { + "type": "STRING", + "value": "#>>" + } + ] }, { - "type": "SYMBOL", - "name": "string" + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "string" + }, + { + "type": "SYMBOL", + "name": "number" + } + ] } ] }, @@ -4724,22 +5256,172 @@ } ] }, + "type": { + "type": "PREC_RIGHT", + "value": 0, + "content": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_identifier" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "PATTERN", + "value": "[vV][aA][rR][yY][iI][nN][gG]" + }, + "named": false, + "value": "VARYING" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "PATTERN", + "value": "[pP][rR][eE][cC][iI][sS][iI][oO][nN]" + }, + "named": false, + "value": "PRECISION" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "(" + }, + { + "type": "SYMBOL", + "name": "number" + }, + { + "type": "STRING", + "value": ")" + } + ] + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SEQ", + "members": [ + { + "type": "CHOICE", + "members": [ + { + "type": "ALIAS", + "content": { + "type": "PATTERN", + "value": "[wW][iI][tT][hH]" + }, + "named": false, + "value": "WITH" + }, + { + "type": "ALIAS", + "content": { + "type": "PATTERN", + "value": "[wW][iI][tT][hH][oO][uU][tT]" + }, + "named": false, + "value": "WITHOUT" + } + ] + }, + { + "type": "ALIAS", + "content": { + "type": "SEQ", + "members": [ + { + "type": "PATTERN", + "value": "[tT][iI][mM][eE]" + }, + { + "type": "PATTERN", + "value": "[zZ][oO][nN][eE]" + } + ] + }, + "named": false, + "value": "TIME_ZONE" + } + ] + }, + { + "type": "BLANK" + } + ] + } + ] + } + }, "array_type": { - "type": "SEQ", - "members": [ - { - "type": "SYMBOL", - "name": "_type" - }, - { - "type": "STRING", - "value": "[" - }, - { - "type": "STRING", - "value": "]" - } - ] + "type": "PREC_RIGHT", + "value": 0, + "content": { + "type": "SEQ", + "members": [ + { + "type": "SYMBOL", + "name": "_type" + }, + { + "type": "REPEAT1", + "content": { + "type": "SEQ", + "members": [ + { + "type": "STRING", + "value": "[" + }, + { + "type": "CHOICE", + "members": [ + { + "type": "SYMBOL", + "name": "number" + }, + { + "type": "BLANK" + } + ] + }, + { + "type": "STRING", + "value": "]" + } + ] + } + } + ] + } }, "_type": { "type": "CHOICE", @@ -4770,7 +5452,7 @@ }, { "type": "SYMBOL", - "name": "identifier" + "name": "_identifier" }, { "type": "SYMBOL", @@ -5228,7 +5910,7 @@ }, { "type": "SYMBOL", - "name": "field_access" + "name": "json_access" }, { "type": "SYMBOL", @@ -5282,6 +5964,10 @@ "type": "SYMBOL", "name": "binary_expression" }, + { + "type": "SYMBOL", + "name": "conditional_expression" + }, { "type": "SYMBOL", "name": "array_element_access" @@ -5293,6 +5979,10 @@ { "type": "SYMBOL", "name": "select_subexpression" + }, + { + "type": "SYMBOL", + "name": "at_time_zone_expression" } ] } diff --git a/src/node-types.json b/src/node-types.json index 6058bb8..ccfc26c 100644 --- a/src/node-types.json +++ b/src/node-types.json @@ -46,6 +46,10 @@ "type": "asterisk_expression", "named": true }, + { + "type": "at_time_zone_expression", + "named": true + }, { "type": "binary_expression", "named": true @@ -55,11 +59,11 @@ "named": true }, { - "type": "dotted_name", + "type": "conditional_expression", "named": true }, { - "type": "field_access", + "type": "dotted_name", "named": true }, { @@ -82,6 +86,10 @@ "type": "is_expression", "named": true }, + { + "type": "json_access", + "named": true + }, { "type": "number", "named": true @@ -163,6 +171,10 @@ "type": "alter_table_action", "named": true }, + { + "type": "alter_table_rename_column", + "named": true + }, { "type": "dotted_name", "named": true @@ -287,6 +299,10 @@ "type": "asterisk_expression", "named": true }, + { + "type": "at_time_zone_expression", + "named": true + }, { "type": "binary_expression", "named": true @@ -296,11 +312,11 @@ "named": true }, { - "type": "dotted_name", + "type": "conditional_expression", "named": true }, { - "type": "field_access", + "type": "dotted_name", "named": true }, { @@ -323,6 +339,10 @@ "type": "is_expression", "named": true }, + { + "type": "json_access", + "named": true + }, { "type": "number", "named": true @@ -378,6 +398,10 @@ "type": "asterisk_expression", "named": true }, + { + "type": "at_time_zone_expression", + "named": true + }, { "type": "binary_expression", "named": true @@ -387,11 +411,11 @@ "named": true }, { - "type": "dotted_name", + "type": "conditional_expression", "named": true }, { - "type": "field_access", + "type": "dotted_name", "named": true }, { @@ -414,6 +438,10 @@ "type": "is_expression", "named": true }, + { + "type": "json_access", + "named": true + }, { "type": "number", "named": true @@ -437,6 +465,25 @@ ] } }, + { + "type": "alter_table_rename_column", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "dotted_name", + "named": true + }, + { + "type": "identifier", + "named": true + } + ] + } + }, { "type": "argument_reference", "named": true, @@ -474,6 +521,10 @@ "type": "asterisk_expression", "named": true }, + { + "type": "at_time_zone_expression", + "named": true + }, { "type": "binary_expression", "named": true @@ -483,11 +534,11 @@ "named": true }, { - "type": "dotted_name", + "type": "conditional_expression", "named": true }, { - "type": "field_access", + "type": "dotted_name", "named": true }, { @@ -510,6 +561,10 @@ "type": "is_expression", "named": true }, + { + "type": "json_access", + "named": true + }, { "type": "number", "named": true @@ -538,13 +593,17 @@ "named": true, "fields": {}, "children": { - "multiple": false, + "multiple": true, "required": true, "types": [ { "type": "array_type", "named": true }, + { + "type": "number", + "named": true + }, { "type": "type", "named": true @@ -584,6 +643,10 @@ "type": "asterisk_expression", "named": true }, + { + "type": "at_time_zone_expression", + "named": true + }, { "type": "binary_expression", "named": true @@ -593,11 +656,11 @@ "named": true }, { - "type": "dotted_name", + "type": "conditional_expression", "named": true }, { - "type": "field_access", + "type": "dotted_name", "named": true }, { @@ -620,6 +683,10 @@ "type": "is_expression", "named": true }, + { + "type": "json_access", + "named": true + }, { "type": "number", "named": true @@ -662,6 +729,105 @@ ] } }, + { + "type": "at_time_zone_expression", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": false, + "types": [ + { + "type": "FALSE", + "named": true + }, + { + "type": "NULL", + "named": true + }, + { + "type": "TRUE", + "named": true + }, + { + "type": "argument_reference", + "named": true + }, + { + "type": "array_element_access", + "named": true + }, + { + "type": "asterisk_expression", + "named": true + }, + { + "type": "at_time_zone_expression", + "named": true + }, + { + "type": "binary_expression", + "named": true + }, + { + "type": "boolean_expression", + "named": true + }, + { + "type": "conditional_expression", + "named": true + }, + { + "type": "dotted_name", + "named": true + }, + { + "type": "function_call", + "named": true + }, + { + "type": "identifier", + "named": true + }, + { + "type": "in_expression", + "named": true + }, + { + "type": "interval_expression", + "named": true + }, + { + "type": "is_expression", + "named": true + }, + { + "type": "json_access", + "named": true + }, + { + "type": "number", + "named": true + }, + { + "type": "select_subexpression", + "named": true + }, + { + "type": "string", + "named": true + }, + { + "type": "type_cast", + "named": true + }, + { + "type": "unary_expression", + "named": true + } + ] + } + }, { "type": "auto_increment_constraint", "named": true, @@ -712,6 +878,10 @@ "type": "asterisk_expression", "named": true }, + { + "type": "at_time_zone_expression", + "named": true + }, { "type": "binary_expression", "named": true @@ -721,11 +891,11 @@ "named": true }, { - "type": "dotted_name", + "type": "conditional_expression", "named": true }, { - "type": "field_access", + "type": "dotted_name", "named": true }, { @@ -748,6 +918,10 @@ "type": "is_expression", "named": true }, + { + "type": "json_access", + "named": true + }, { "type": "number", "named": true @@ -896,6 +1070,10 @@ "type": "asterisk_expression", "named": true }, + { + "type": "at_time_zone_expression", + "named": true + }, { "type": "binary_expression", "named": true @@ -905,11 +1083,11 @@ "named": true }, { - "type": "dotted_name", + "type": "conditional_expression", "named": true }, { - "type": "field_access", + "type": "dotted_name", "named": true }, { @@ -932,6 +1110,10 @@ "type": "is_expression", "named": true }, + { + "type": "json_access", + "named": true + }, { "type": "number", "named": true @@ -993,6 +1175,10 @@ "type": "asterisk_expression", "named": true }, + { + "type": "at_time_zone_expression", + "named": true + }, { "type": "binary_expression", "named": true @@ -1002,11 +1188,11 @@ "named": true }, { - "type": "dotted_name", + "type": "conditional_expression", "named": true }, { - "type": "field_access", + "type": "dotted_name", "named": true }, { @@ -1029,6 +1215,10 @@ "type": "is_expression", "named": true }, + { + "type": "json_access", + "named": true + }, { "type": "number", "named": true @@ -1084,6 +1274,10 @@ "type": "asterisk_expression", "named": true }, + { + "type": "at_time_zone_expression", + "named": true + }, { "type": "binary_expression", "named": true @@ -1093,11 +1287,11 @@ "named": true }, { - "type": "dotted_name", + "type": "conditional_expression", "named": true }, { - "type": "field_access", + "type": "dotted_name", "named": true }, { @@ -1120,6 +1314,10 @@ "type": "is_expression", "named": true }, + { + "type": "json_access", + "named": true + }, { "type": "number", "named": true @@ -1175,6 +1373,10 @@ "type": "asterisk_expression", "named": true }, + { + "type": "at_time_zone_expression", + "named": true + }, { "type": "binary_expression", "named": true @@ -1183,12 +1385,111 @@ "type": "boolean_expression", "named": true }, + { + "type": "conditional_expression", + "named": true + }, { "type": "dotted_name", "named": true }, { - "type": "field_access", + "type": "function_call", + "named": true + }, + { + "type": "identifier", + "named": true + }, + { + "type": "in_expression", + "named": true + }, + { + "type": "interval_expression", + "named": true + }, + { + "type": "is_expression", + "named": true + }, + { + "type": "json_access", + "named": true + }, + { + "type": "number", + "named": true + }, + { + "type": "select_subexpression", + "named": true + }, + { + "type": "string", + "named": true + }, + { + "type": "type_cast", + "named": true + }, + { + "type": "unary_expression", + "named": true + } + ] + } + }, + { + "type": "column_default", + "named": true, + "fields": {}, + "children": { + "multiple": false, + "required": false, + "types": [ + { + "type": "FALSE", + "named": true + }, + { + "type": "NULL", + "named": true + }, + { + "type": "TRUE", + "named": true + }, + { + "type": "argument_reference", + "named": true + }, + { + "type": "array_element_access", + "named": true + }, + { + "type": "asterisk_expression", + "named": true + }, + { + "type": "at_time_zone_expression", + "named": true + }, + { + "type": "binary_expression", + "named": true + }, + { + "type": "boolean_expression", + "named": true + }, + { + "type": "conditional_expression", + "named": true + }, + { + "type": "dotted_name", "named": true }, { @@ -1211,6 +1512,10 @@ "type": "is_expression", "named": true }, + { + "type": "json_access", + "named": true + }, { "type": "number", "named": true @@ -1235,11 +1540,16 @@ } }, { - "type": "column_default", + "type": "commit_statement", + "named": true, + "fields": {} + }, + { + "type": "conditional_expression", "named": true, "fields": {}, "children": { - "multiple": false, + "multiple": true, "required": false, "types": [ { @@ -1266,6 +1576,10 @@ "type": "asterisk_expression", "named": true }, + { + "type": "at_time_zone_expression", + "named": true + }, { "type": "binary_expression", "named": true @@ -1275,11 +1589,11 @@ "named": true }, { - "type": "dotted_name", + "type": "conditional_expression", "named": true }, { - "type": "field_access", + "type": "dotted_name", "named": true }, { @@ -1302,6 +1616,10 @@ "type": "is_expression", "named": true }, + { + "type": "json_access", + "named": true + }, { "type": "number", "named": true @@ -1325,11 +1643,6 @@ ] } }, - { - "type": "commit_statement", - "named": true, - "fields": {} - }, { "type": "constrained_type", "named": true, @@ -1668,6 +1981,10 @@ "type": "asterisk_expression", "named": true }, + { + "type": "at_time_zone_expression", + "named": true + }, { "type": "binary_expression", "named": true @@ -1677,11 +1994,11 @@ "named": true }, { - "type": "dotted_name", + "type": "conditional_expression", "named": true }, { - "type": "field_access", + "type": "dotted_name", "named": true }, { @@ -1704,6 +2021,10 @@ "type": "is_expression", "named": true }, + { + "type": "json_access", + "named": true + }, { "type": "number", "named": true @@ -1823,6 +2144,10 @@ "type": "identifier", "named": true }, + { + "type": "select_statement", + "named": true + }, { "type": "table_parameters", "named": true @@ -1853,6 +2178,37 @@ ] } }, + { + "type": "cte", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "delete_statement", + "named": true + }, + { + "type": "identifier", + "named": true + }, + { + "type": "insert_statement", + "named": true + }, + { + "type": "select_statement", + "named": true + }, + { + "type": "update_statement", + "named": true + } + ] + } + }, { "type": "default", "named": true, @@ -1885,6 +2241,10 @@ "type": "asterisk_expression", "named": true }, + { + "type": "at_time_zone_expression", + "named": true + }, { "type": "binary_expression", "named": true @@ -1894,11 +2254,11 @@ "named": true }, { - "type": "dotted_name", + "type": "conditional_expression", "named": true }, { - "type": "field_access", + "type": "dotted_name", "named": true }, { @@ -1921,6 +2281,10 @@ "type": "is_expression", "named": true }, + { + "type": "json_access", + "named": true + }, { "type": "number", "named": true @@ -1944,6 +2308,29 @@ ] } }, + { + "type": "delete_statement", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "from_clause", + "named": true + }, + { + "type": "where_clause", + "named": true + }, + { + "type": "with_clause", + "named": true + } + ] + } + }, { "type": "direction_constraint", "named": true, @@ -1981,6 +2368,10 @@ "type": "asterisk_expression", "named": true }, + { + "type": "at_time_zone_expression", + "named": true + }, { "type": "binary_expression", "named": true @@ -1990,11 +2381,11 @@ "named": true }, { - "type": "dotted_name", + "type": "conditional_expression", "named": true }, { - "type": "field_access", + "type": "dotted_name", "named": true }, { @@ -2017,6 +2408,10 @@ "type": "is_expression", "named": true }, + { + "type": "json_access", + "named": true + }, { "type": "number", "named": true @@ -2124,25 +2519,6 @@ ] } }, - { - "type": "field_access", - "named": true, - "fields": {}, - "children": { - "multiple": true, - "required": true, - "types": [ - { - "type": "identifier", - "named": true - }, - { - "type": "string", - "named": true - } - ] - } - }, { "type": "foreign_key", "named": true, @@ -2198,6 +2574,10 @@ "type": "asterisk_expression", "named": true }, + { + "type": "at_time_zone_expression", + "named": true + }, { "type": "binary_expression", "named": true @@ -2207,11 +2587,11 @@ "named": true }, { - "type": "dotted_name", + "type": "conditional_expression", "named": true }, { - "type": "field_access", + "type": "dotted_name", "named": true }, { @@ -2234,6 +2614,10 @@ "type": "is_expression", "named": true }, + { + "type": "json_access", + "named": true + }, { "type": "number", "named": true @@ -2316,6 +2700,10 @@ "type": "asterisk_expression", "named": true }, + { + "type": "at_time_zone_expression", + "named": true + }, { "type": "binary_expression", "named": true @@ -2325,11 +2713,11 @@ "named": true }, { - "type": "dotted_name", + "type": "conditional_expression", "named": true }, { - "type": "field_access", + "type": "dotted_name", "named": true }, { @@ -2352,6 +2740,10 @@ "type": "is_expression", "named": true }, + { + "type": "json_access", + "named": true + }, { "type": "number", "named": true @@ -2475,6 +2867,10 @@ "type": "asterisk_expression", "named": true }, + { + "type": "at_time_zone_expression", + "named": true + }, { "type": "binary_expression", "named": true @@ -2484,11 +2880,11 @@ "named": true }, { - "type": "dotted_name", + "type": "conditional_expression", "named": true }, { - "type": "field_access", + "type": "dotted_name", "named": true }, { @@ -2511,6 +2907,10 @@ "type": "is_expression", "named": true }, + { + "type": "json_access", + "named": true + }, { "type": "number", "named": true @@ -2571,6 +2971,10 @@ "type": "asterisk_expression", "named": true }, + { + "type": "at_time_zone_expression", + "named": true + }, { "type": "binary_expression", "named": true @@ -2580,11 +2984,11 @@ "named": true }, { - "type": "dotted_name", + "type": "conditional_expression", "named": true }, { - "type": "field_access", + "type": "dotted_name", "named": true }, { @@ -2607,6 +3011,10 @@ "type": "is_expression", "named": true }, + { + "type": "json_access", + "named": true + }, { "type": "number", "named": true @@ -2666,6 +3074,10 @@ "type": "asterisk_expression", "named": true }, + { + "type": "at_time_zone_expression", + "named": true + }, { "type": "binary_expression", "named": true @@ -2675,11 +3087,11 @@ "named": true }, { - "type": "dotted_name", + "type": "conditional_expression", "named": true }, { - "type": "field_access", + "type": "dotted_name", "named": true }, { @@ -2702,6 +3114,10 @@ "type": "is_expression", "named": true }, + { + "type": "json_access", + "named": true + }, { "type": "number", "named": true @@ -2747,46 +3163,149 @@ "required": true, "types": [ { - "type": "dotted_name", + "type": "dotted_name", + "named": true + }, + { + "type": "identifier", + "named": true + }, + { + "type": "select_statement", + "named": true + }, + { + "type": "values_clause", + "named": true + } + ] + } + }, + { + "type": "interval_expression", + "named": true, + "fields": {}, + "children": { + "multiple": false, + "required": true, + "types": [ + { + "type": "string", + "named": true + } + ] + } + }, + { + "type": "is_expression", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "FALSE", + "named": true + }, + { + "type": "NULL", + "named": true + }, + { + "type": "TRUE", + "named": true + }, + { + "type": "argument_reference", + "named": true + }, + { + "type": "array_element_access", + "named": true + }, + { + "type": "asterisk_expression", + "named": true + }, + { + "type": "at_time_zone_expression", + "named": true + }, + { + "type": "binary_expression", + "named": true + }, + { + "type": "boolean_expression", + "named": true + }, + { + "type": "conditional_expression", + "named": true + }, + { + "type": "distinct_from", + "named": true + }, + { + "type": "dotted_name", + "named": true + }, + { + "type": "function_call", + "named": true + }, + { + "type": "identifier", + "named": true + }, + { + "type": "in_expression", + "named": true + }, + { + "type": "interval_expression", + "named": true + }, + { + "type": "is_expression", "named": true }, { - "type": "identifier", + "type": "json_access", "named": true }, { - "type": "select_statement", + "type": "number", "named": true }, { - "type": "values_clause", + "type": "select_subexpression", "named": true - } - ] - } - }, - { - "type": "interval_expression", - "named": true, - "fields": {}, - "children": { - "multiple": false, - "required": true, - "types": [ + }, { "type": "string", "named": true + }, + { + "type": "type_cast", + "named": true + }, + { + "type": "unary_expression", + "named": true } ] } }, { - "type": "is_expression", + "type": "join_clause", "named": true, "fields": {}, "children": { "multiple": true, - "required": true, + "required": false, "types": [ { "type": "FALSE", @@ -2800,6 +3319,10 @@ "type": "TRUE", "named": true }, + { + "type": "alias", + "named": true + }, { "type": "argument_reference", "named": true @@ -2813,23 +3336,23 @@ "named": true }, { - "type": "binary_expression", + "type": "at_time_zone_expression", "named": true }, { - "type": "boolean_expression", + "type": "binary_expression", "named": true }, { - "type": "distinct_from", + "type": "boolean_expression", "named": true }, { - "type": "dotted_name", + "type": "conditional_expression", "named": true }, { - "type": "field_access", + "type": "dotted_name", "named": true }, { @@ -2852,6 +3375,14 @@ "type": "is_expression", "named": true }, + { + "type": "join_type", + "named": true + }, + { + "type": "json_access", + "named": true + }, { "type": "number", "named": true @@ -2876,7 +3407,12 @@ } }, { - "type": "join_clause", + "type": "join_type", + "named": true, + "fields": {} + }, + { + "type": "json_access", "named": true, "fields": {}, "children": { @@ -2907,6 +3443,10 @@ "type": "asterisk_expression", "named": true }, + { + "type": "at_time_zone_expression", + "named": true + }, { "type": "binary_expression", "named": true @@ -2916,11 +3456,11 @@ "named": true }, { - "type": "dotted_name", + "type": "conditional_expression", "named": true }, { - "type": "field_access", + "type": "dotted_name", "named": true }, { @@ -2944,7 +3484,7 @@ "named": true }, { - "type": "join_type", + "type": "json_access", "named": true }, { @@ -2971,14 +3511,24 @@ } }, { - "type": "join_type", + "type": "language", "named": true, "fields": {} }, { - "type": "language", + "type": "limit_clause", "named": true, - "fields": {} + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "number", + "named": true + } + ] + } }, { "type": "mode", @@ -3100,7 +3650,22 @@ { "type": "order_by_clause", "named": true, - "fields": {}, + "fields": { + "order": { + "multiple": false, + "required": false, + "types": [ + { + "type": "ASC", + "named": false + }, + { + "type": "DESC", + "named": false + } + ] + } + }, "children": { "multiple": false, "required": true, @@ -3144,6 +3709,10 @@ "type": "asterisk_expression", "named": true }, + { + "type": "at_time_zone_expression", + "named": true + }, { "type": "binary_expression", "named": true @@ -3153,11 +3722,11 @@ "named": true }, { - "type": "dotted_name", + "type": "conditional_expression", "named": true }, { - "type": "field_access", + "type": "dotted_name", "named": true }, { @@ -3180,6 +3749,10 @@ "type": "is_expression", "named": true }, + { + "type": "json_access", + "named": true + }, { "type": "number", "named": true @@ -3250,6 +3823,10 @@ "type": "asterisk_expression", "named": true }, + { + "type": "at_time_zone_expression", + "named": true + }, { "type": "binary_expression", "named": true @@ -3259,11 +3836,11 @@ "named": true }, { - "type": "dotted_name", + "type": "conditional_expression", "named": true }, { - "type": "field_access", + "type": "dotted_name", "named": true }, { @@ -3286,6 +3863,10 @@ "type": "is_expression", "named": true }, + { + "type": "json_access", + "named": true + }, { "type": "number", "named": true @@ -3468,6 +4049,10 @@ "type": "asterisk_expression", "named": true }, + { + "type": "at_time_zone_expression", + "named": true + }, { "type": "binary_expression", "named": true @@ -3477,11 +4062,11 @@ "named": true }, { - "type": "dotted_name", + "type": "conditional_expression", "named": true }, { - "type": "field_access", + "type": "dotted_name", "named": true }, { @@ -3504,6 +4089,10 @@ "type": "is_expression", "named": true }, + { + "type": "json_access", + "named": true + }, { "type": "number", "named": true @@ -3547,6 +4136,10 @@ "type": "join_clause", "named": true }, + { + "type": "limit_clause", + "named": true + }, { "type": "order_by_clause", "named": true @@ -3558,6 +4151,10 @@ { "type": "where_clause", "named": true + }, + { + "type": "with_clause", + "named": true } ] } @@ -3654,6 +4251,10 @@ "type": "asterisk_expression", "named": true }, + { + "type": "at_time_zone_expression", + "named": true + }, { "type": "binary_expression", "named": true @@ -3663,11 +4264,11 @@ "named": true }, { - "type": "dotted_name", + "type": "conditional_expression", "named": true }, { - "type": "field_access", + "type": "dotted_name", "named": true }, { @@ -3690,6 +4291,10 @@ "type": "is_expression", "named": true }, + { + "type": "json_access", + "named": true + }, { "type": "number", "named": true @@ -3792,6 +4397,10 @@ "type": "create_type_statement", "named": true }, + { + "type": "delete_statement", + "named": true + }, { "type": "drop_statement", "named": true @@ -3901,10 +4510,6 @@ "type": "references_constraint", "named": true }, - { - "type": "time_zone_constraint", - "named": true - }, { "type": "unique_constraint", "named": true @@ -3970,11 +4575,6 @@ ] } }, - { - "type": "time_zone_constraint", - "named": true, - "fields": {} - }, { "type": "tuple", "named": true, @@ -4019,6 +4619,10 @@ "type": "asterisk_expression", "named": true }, + { + "type": "at_time_zone_expression", + "named": true + }, { "type": "binary_expression", "named": true @@ -4028,11 +4632,11 @@ "named": true }, { - "type": "dotted_name", + "type": "conditional_expression", "named": true }, { - "type": "field_access", + "type": "dotted_name", "named": true }, { @@ -4055,6 +4659,10 @@ "type": "is_expression", "named": true }, + { + "type": "json_access", + "named": true + }, { "type": "number", "named": true @@ -4149,6 +4757,10 @@ "type": "asterisk_expression", "named": true }, + { + "type": "at_time_zone_expression", + "named": true + }, { "type": "binary_expression", "named": true @@ -4158,11 +4770,11 @@ "named": true }, { - "type": "dotted_name", + "type": "conditional_expression", "named": true }, { - "type": "field_access", + "type": "dotted_name", "named": true }, { @@ -4185,6 +4797,10 @@ "type": "is_expression", "named": true }, + { + "type": "json_access", + "named": true + }, { "type": "number", "named": true @@ -4248,6 +4864,10 @@ "type": "asterisk_expression", "named": true }, + { + "type": "at_time_zone_expression", + "named": true + }, { "type": "binary_expression", "named": true @@ -4257,11 +4877,11 @@ "named": true }, { - "type": "dotted_name", + "type": "conditional_expression", "named": true }, { - "type": "field_access", + "type": "dotted_name", "named": true }, { @@ -4284,6 +4904,10 @@ "type": "is_expression", "named": true }, + { + "type": "json_access", + "named": true + }, { "type": "number", "named": true @@ -4374,6 +4998,10 @@ "multiple": true, "required": true, "types": [ + { + "type": "from_clause", + "named": true + }, { "type": "identifier", "named": true @@ -4385,6 +5013,10 @@ { "type": "where_clause", "named": true + }, + { + "type": "with_clause", + "named": true } ] } @@ -4452,6 +5084,10 @@ "type": "asterisk_expression", "named": true }, + { + "type": "at_time_zone_expression", + "named": true + }, { "type": "binary_expression", "named": true @@ -4461,11 +5097,11 @@ "named": true }, { - "type": "dotted_name", + "type": "conditional_expression", "named": true }, { - "type": "field_access", + "type": "dotted_name", "named": true }, { @@ -4488,6 +5124,10 @@ "type": "is_expression", "named": true }, + { + "type": "json_access", + "named": true + }, { "type": "number", "named": true @@ -4543,6 +5183,10 @@ "type": "asterisk_expression", "named": true }, + { + "type": "at_time_zone_expression", + "named": true + }, { "type": "binary_expression", "named": true @@ -4552,11 +5196,11 @@ "named": true }, { - "type": "dotted_name", + "type": "conditional_expression", "named": true }, { - "type": "field_access", + "type": "dotted_name", "named": true }, { @@ -4579,6 +5223,10 @@ "type": "is_expression", "named": true }, + { + "type": "json_access", + "named": true + }, { "type": "number", "named": true @@ -4602,6 +5250,21 @@ ] } }, + { + "type": "with_clause", + "named": true, + "fields": {}, + "children": { + "multiple": true, + "required": true, + "types": [ + { + "type": "cte", + "named": true + } + ] + } + }, { "type": "!!", "named": false @@ -4622,6 +5285,14 @@ "type": "#", "named": false }, + { + "type": "#>", + "named": false + }, + { + "type": "#>>", + "named": false + }, { "type": "$", "named": false @@ -4670,6 +5341,10 @@ "type": "-", "named": false }, + { + "type": "->", + "named": false + }, { "type": "->>", "named": false @@ -4758,6 +5433,10 @@ "type": "ASC", "named": false }, + { + "type": "AT_TIME_ZONE", + "named": false + }, { "type": "AUTO_INCREMENT", "named": false @@ -4782,6 +5461,10 @@ "type": "CASCADE", "named": false }, + { + "type": "CASE", + "named": false + }, { "type": "CHECK", "named": false @@ -4858,6 +5541,14 @@ "type": "DROP", "named": false }, + { + "type": "ELSE", + "named": false + }, + { + "type": "END", + "named": false + }, { "type": "EXCLUDE", "named": false @@ -4966,14 +5657,26 @@ "type": "LANGUAGE", "named": false }, + { + "type": "LATERAL", + "named": false + }, { "type": "LEFT", "named": false }, + { + "type": "LIMIT", + "named": false + }, { "type": "LOCAL", "named": false }, + { + "type": "MATERIALIZED", + "named": false + }, { "type": "MAXVALUE", "named": false @@ -4998,6 +5701,10 @@ "type": "NULL", "named": false }, + { + "type": "OFFSET", + "named": false + }, { "type": "ON", "named": false @@ -5038,6 +5745,10 @@ "type": "PARALLEL", "named": false }, + { + "type": "PRECISION", + "named": false + }, { "type": "PRIMARY_KEY", "named": false @@ -5050,10 +5761,18 @@ "type": "PUBLIC", "named": false }, + { + "type": "RECURSIVE", + "named": false + }, { "type": "REFERENCES", "named": false }, + { + "type": "RENAME", + "named": false + }, { "type": "RESTRICT", "named": false @@ -5142,6 +5861,10 @@ "type": "TEMPORARY", "named": false }, + { + "type": "THEN", + "named": false + }, { "type": "TIME_ZONE", "named": false @@ -5194,6 +5917,10 @@ "type": "VARIADIC", "named": false }, + { + "type": "VARYING", + "named": false + }, { "type": "VIEW", "named": false @@ -5202,6 +5929,10 @@ "type": "VOLATILE", "named": false }, + { + "type": "WHEN", + "named": false + }, { "type": "WHERE", "named": false diff --git a/src/parser.c b/src/parser.c index 75c29a0..834c1a9 100644 --- a/src/parser.c +++ b/src/parser.c @@ -14,15 +14,15 @@ #endif #define LANGUAGE_VERSION 13 -#define STATE_COUNT 1841 -#define LARGE_STATE_COUNT 3 -#define SYMBOL_COUNT 309 -#define ALIAS_COUNT 15 -#define TOKEN_COUNT 172 +#define STATE_COUNT 3401 +#define LARGE_STATE_COUNT 88 +#define SYMBOL_COUNT 338 +#define ALIAS_COUNT 17 +#define TOKEN_COUNT 189 #define EXTERNAL_TOKEN_COUNT 0 #define FIELD_COUNT 18 #define MAX_ALIAS_SEQUENCE_LENGTH 12 -#define PRODUCTION_ID_COUNT 75 +#define PRODUCTION_ID_COUNT 78 enum { anon_sym_SEMI = 1, @@ -31,323 +31,354 @@ enum { aux_sym_begin_statement_token3 = 4, aux_sym_commit_statement_token1 = 5, aux_sym_rollback_statement_token1 = 6, - aux_sym_create_statement_token1 = 7, - aux_sym_create_statement_token2 = 8, - aux_sym_create_statement_token3 = 9, - aux_sym_alter_statement_token1 = 10, - aux_sym_alter_table_token1 = 11, - aux_sym_alter_table_token2 = 12, - aux_sym_alter_table_token3 = 13, - aux_sym_alter_table_token4 = 14, - aux_sym_alter_table_action_alter_column_token1 = 15, - aux_sym_alter_table_action_alter_column_token2 = 16, - aux_sym_alter_table_action_alter_column_token3 = 17, - aux_sym_alter_table_action_add_token1 = 18, - aux_sym_sequence_token1 = 19, - aux_sym_sequence_token2 = 20, - aux_sym_sequence_token3 = 21, - aux_sym_sequence_token4 = 22, - aux_sym_sequence_token5 = 23, - aux_sym_sequence_token6 = 24, - aux_sym_sequence_token7 = 25, - aux_sym_sequence_token8 = 26, - aux_sym_sequence_token9 = 27, - aux_sym_sequence_token10 = 28, - aux_sym_sequence_token11 = 29, - aux_sym_sequence_token12 = 30, - aux_sym_pg_command_token1 = 31, - aux_sym_pg_command_token2 = 32, - aux_sym_create_function_statement_token1 = 33, - aux_sym_create_function_statement_token2 = 34, - aux_sym_create_function_statement_token3 = 35, - aux_sym_optimizer_hint_token1 = 36, - aux_sym_optimizer_hint_token2 = 37, - aux_sym_optimizer_hint_token3 = 38, - aux_sym_parallel_hint_token1 = 39, - aux_sym_parallel_hint_token2 = 40, - aux_sym_parallel_hint_token3 = 41, - aux_sym_parallel_hint_token4 = 42, - aux_sym_null_hint_token1 = 43, - aux_sym_null_hint_token2 = 44, - aux_sym_null_hint_token3 = 45, - aux_sym_null_hint_token4 = 46, - aux_sym_null_hint_token5 = 47, - aux_sym__function_language_token1 = 48, - aux_sym_setof_token1 = 49, - aux_sym_create_function_parameter_token1 = 50, - aux_sym_create_function_parameter_token2 = 51, - aux_sym_create_function_parameter_token3 = 52, - aux_sym_create_function_parameter_token4 = 53, - anon_sym_EQ = 54, - anon_sym_LPAREN = 55, - anon_sym_COMMA = 56, - anon_sym_RPAREN = 57, - aux_sym_create_extension_statement_token1 = 58, - aux_sym_create_role_statement_token1 = 59, - aux_sym_create_schema_statement_token1 = 60, - aux_sym_drop_statement_token1 = 61, - anon_sym_TABLE = 62, - anon_sym_VIEW = 63, - anon_sym_TABLESPACE = 64, - anon_sym_EXTENSION = 65, - anon_sym_INDEX = 66, - aux_sym_set_statement_token1 = 67, - aux_sym_set_statement_token2 = 68, - aux_sym_set_statement_token3 = 69, - aux_sym_grant_statement_token1 = 70, - aux_sym_grant_statement_token2 = 71, - aux_sym_grant_statement_token3 = 72, - aux_sym_grant_statement_token4 = 73, - aux_sym_grant_statement_token5 = 74, - aux_sym_grant_statement_token6 = 75, - aux_sym_grant_statement_token7 = 76, - aux_sym_grant_statement_token8 = 77, - aux_sym_grant_statement_token9 = 78, - aux_sym_grant_statement_token10 = 79, - aux_sym_grant_statement_token11 = 80, - aux_sym_grant_statement_token12 = 81, - aux_sym_grant_statement_token13 = 82, - aux_sym_grant_statement_token14 = 83, - aux_sym_grant_statement_token15 = 84, - aux_sym_create_domain_statement_token1 = 85, - aux_sym_create_type_statement_token1 = 86, - aux_sym_create_index_include_clause_token1 = 87, - aux_sym_create_index_statement_token1 = 88, - aux_sym_auto_increment_constraint_token1 = 89, - aux_sym_direction_constraint_token1 = 90, - aux_sym_direction_constraint_token2 = 91, - aux_sym_time_zone_constraint_token1 = 92, - aux_sym_time_zone_constraint_token2 = 93, - aux_sym_time_zone_constraint_token3 = 94, - anon_sym_CONSTRAINT = 95, - aux_sym_mode_token1 = 96, - aux_sym_initial_mode_token1 = 97, - aux_sym_initial_mode_token2 = 98, - aux_sym_initial_mode_token3 = 99, - aux_sym__table_constraint_token1 = 100, - aux_sym_table_constraint_check_token1 = 101, - aux_sym_table_constraint_exclude_token1 = 102, - aux_sym_table_constraint_exclude_token2 = 103, - aux_sym_table_constraint_foreign_key_token1 = 104, - aux_sym_table_constraint_foreign_key_token2 = 105, - aux_sym_table_constraint_unique_token1 = 106, - aux_sym_table_constraint_primary_key_token1 = 107, - aux_sym_order_by_clause_token1 = 108, - aux_sym_where_clause_token1 = 109, - aux_sym_from_clause_token1 = 110, - aux_sym_join_type_token1 = 111, - aux_sym_join_type_token2 = 112, - aux_sym_join_type_token3 = 113, - aux_sym_join_type_token4 = 114, - aux_sym_join_type_token5 = 115, - aux_sym_join_clause_token1 = 116, - aux_sym_insert_statement_token1 = 117, - aux_sym_values_clause_token1 = 118, - aux_sym__constraint_action_token1 = 119, - aux_sym__constraint_action_token2 = 120, - aux_sym_is_expression_token1 = 121, - aux_sym_distinct_from_token1 = 122, - aux_sym_boolean_expression_token1 = 123, - aux_sym_boolean_expression_token2 = 124, - aux_sym_TRUE_token1 = 125, - aux_sym_FALSE_token1 = 126, - aux_sym_number_token1 = 127, - sym__unquoted_identifier = 128, - anon_sym_BQUOTE = 129, - aux_sym__quoted_identifier_token1 = 130, - anon_sym_DQUOTE = 131, - aux_sym__quoted_identifier_token2 = 132, - anon_sym_DOT = 133, - anon_sym_SQUOTE = 134, - aux_sym_string_token1 = 135, - anon_sym_DOLLAR_DOLLAR = 136, - aux_sym_string_token2 = 137, - anon_sym_DASH_GT_GT = 138, - anon_sym_LBRACK = 139, - anon_sym_RBRACK = 140, - anon_sym_COLON_COLON = 141, - sym_comment = 142, - anon_sym_PLUS = 143, - anon_sym_DASH = 144, - anon_sym_BANG_BANG = 145, - anon_sym_TILDE = 146, - anon_sym_AT = 147, - anon_sym_PIPE_SLASH = 148, - anon_sym_PIPE_PIPE_SLASH = 149, - anon_sym_CARET = 150, - anon_sym_STAR = 151, - anon_sym_SLASH = 152, - anon_sym_PERCENT = 153, - anon_sym_LT_LT = 154, - anon_sym_GT_GT = 155, - anon_sym_AMP = 156, - anon_sym_PIPE = 157, - anon_sym_POUND = 158, - anon_sym_LT = 159, - anon_sym_LT_EQ = 160, - anon_sym_LT_GT = 161, - anon_sym_GT = 162, - anon_sym_GT_EQ = 163, - anon_sym_BANG_TILDE = 164, - anon_sym_TILDE_STAR = 165, - anon_sym_BANG_TILDE_STAR = 166, - anon_sym_AMP_AMP = 167, - anon_sym_PIPE_PIPE = 168, - anon_sym_DOT_STAR = 169, - aux_sym_interval_expression_token1 = 170, - anon_sym_DOLLAR = 171, - sym_source_file = 172, - sym__statement = 173, - sym_begin_statement = 174, - sym_commit_statement = 175, - sym_rollback_statement = 176, - sym_create_statement = 177, - sym_alter_statement = 178, - sym_alter_table = 179, - sym_alter_table_action_alter_column = 180, - sym_alter_table_action_add = 181, - sym_alter_table_action_set = 182, - sym_alter_table_action = 183, - sym_sequence = 184, - sym_pg_command = 185, - sym_create_function_statement = 186, - sym_optimizer_hint = 187, - sym_parallel_hint = 188, - sym_null_hint = 189, - sym__function_language = 190, - sym__create_function_return_type = 191, - sym_setof = 192, - sym_constrained_type = 193, - sym_create_function_parameter = 194, - sym_create_function_parameters = 195, - sym_function_body = 196, - sym_create_extension_statement = 197, - sym_create_role_statement = 198, - sym_create_schema_statement = 199, - sym_drop_statement = 200, - sym_set_statement = 201, - sym_grant_statement = 202, - sym_create_domain_statement = 203, - sym_create_type_statement = 204, - sym_create_index_with_clause = 205, - sym_create_index_include_clause = 206, - sym_create_index_statement = 207, - sym_table_column = 208, - sym_auto_increment_constraint = 209, - sym_direction_constraint = 210, - sym_time_zone_constraint = 211, - sym_named_constraint = 212, - sym__column_default_expression = 213, - sym_column_default = 214, - sym_table_parameters = 215, - sym_mode = 216, - sym_initial_mode = 217, - sym__table_constraint = 218, - sym_table_constraint_check = 219, - sym_op_class = 220, - sym_exclude_entry = 221, - sym_table_constraint_exclude = 222, - sym_table_constraint_foreign_key = 223, - sym_table_constraint_unique = 224, - sym_table_constraint_primary_key = 225, - sym_primary_key_constraint = 226, - sym_create_table_statement = 227, - sym_using_clause = 228, - sym_index_table_parameters = 229, - sym_select_statement = 230, - sym_group_by_clause_body = 231, - sym_group_by_clause = 232, - sym_order_by_clause_body = 233, - sym_order_by_clause = 234, - sym_where_clause = 235, - sym__aliased_expression = 236, - sym__aliasable_expression = 237, - sym_select_clause_body = 238, - sym_select_clause = 239, - sym_from_clause = 240, - sym_join_type = 241, - sym_join_clause = 242, - sym_select_subexpression = 243, - sym_update_statement = 244, - sym_set_clause = 245, - sym_set_clause_body = 246, - sym_assigment_expression = 247, - sym_insert_statement = 248, - sym_values_clause = 249, - sym_values_clause_body = 250, - sym_in_expression = 251, - sym_tuple = 252, - sym_references_constraint = 253, - sym_on_update_action = 254, - sym_on_delete_action = 255, - sym__constraint_action = 256, - sym_unique_constraint = 257, - sym_null_constraint = 258, - sym_check_constraint = 259, - sym_parameter = 260, - sym_parameters = 261, - sym_function_call = 262, - sym__parenthesized_expression = 263, - sym_is_expression = 264, - sym_distinct_from = 265, - sym_boolean_expression = 266, - sym_NULL = 267, - sym_TRUE = 268, - sym_FALSE = 269, - sym_number = 270, - sym__quoted_identifier = 271, - sym_identifier = 272, - sym_dotted_name = 273, - sym__identifier = 274, - sym_type = 275, - sym_string = 276, - sym_field_access = 277, - sym_ordered_expression = 278, - sym_array_type = 279, - sym__type = 280, - sym_type_cast = 281, - sym_array_element_access = 282, - sym_unary_expression = 283, - sym_binary_expression = 284, - sym_binary_operator = 285, - sym_asterisk_expression = 286, - sym_interval_expression = 287, - sym_argument_reference = 288, - sym__expression = 289, - aux_sym_source_file_repeat1 = 290, - aux_sym_sequence_repeat1 = 291, - aux_sym_create_function_statement_repeat1 = 292, - aux_sym_create_function_parameters_repeat1 = 293, - aux_sym_grant_statement_repeat1 = 294, - aux_sym_create_domain_statement_repeat1 = 295, - aux_sym_create_index_include_clause_repeat1 = 296, - aux_sym_table_column_repeat1 = 297, - aux_sym_table_parameters_repeat1 = 298, - aux_sym_table_constraint_exclude_repeat1 = 299, - aux_sym_table_constraint_unique_repeat1 = 300, - aux_sym_index_table_parameters_repeat1 = 301, - aux_sym_select_statement_repeat1 = 302, - aux_sym_group_by_clause_body_repeat1 = 303, - aux_sym_select_clause_body_repeat1 = 304, - aux_sym_set_clause_body_repeat1 = 305, - aux_sym_references_constraint_repeat1 = 306, - aux_sym_parameters_repeat1 = 307, - aux_sym_dotted_name_repeat1 = 308, - anon_alias_sym_BY = 309, - anon_alias_sym_COLUMN = 310, - anon_alias_sym_CREATE_SCHEMA = 311, - anon_alias_sym_DEFERRABLE = 312, - anon_alias_sym_DELETE = 313, - anon_alias_sym_IF_EXISTS = 314, - anon_alias_sym_IF_NOT_EXISTS = 315, - anon_alias_sym_NULL = 316, - anon_alias_sym_ON_UPDATE = 317, - anon_alias_sym_SET_DEFAULT = 318, - anon_alias_sym_SET_NULL = 319, - anon_alias_sym_WITH = 320, - alias_sym_alter_sequence = 321, - alias_sym_default = 322, - alias_sym_language = 323, + aux_sym_with_clause_token1 = 7, + aux_sym_with_clause_token2 = 8, + anon_sym_COMMA = 9, + aux_sym_cte_token1 = 10, + aux_sym_cte_token2 = 11, + aux_sym_cte_token3 = 12, + anon_sym_LPAREN = 13, + anon_sym_RPAREN = 14, + aux_sym_insert_statement_token1 = 15, + aux_sym_insert_statement_token2 = 16, + aux_sym_create_statement_token1 = 17, + aux_sym_create_statement_token2 = 18, + aux_sym_create_statement_token3 = 19, + aux_sym_alter_statement_token1 = 20, + aux_sym_alter_table_token1 = 21, + aux_sym_alter_table_token2 = 22, + aux_sym_alter_table_token3 = 23, + aux_sym_alter_table_token4 = 24, + aux_sym_alter_table_action_alter_column_token1 = 25, + aux_sym_alter_table_action_alter_column_token2 = 26, + aux_sym_alter_table_action_alter_column_token3 = 27, + aux_sym_alter_table_action_add_token1 = 28, + aux_sym_alter_table_rename_column_token1 = 29, + aux_sym_alter_table_rename_column_token2 = 30, + aux_sym_sequence_token1 = 31, + aux_sym_sequence_token2 = 32, + aux_sym_sequence_token3 = 33, + aux_sym_sequence_token4 = 34, + aux_sym_sequence_token5 = 35, + aux_sym_sequence_token6 = 36, + aux_sym_sequence_token7 = 37, + aux_sym_sequence_token8 = 38, + aux_sym_sequence_token9 = 39, + aux_sym_pg_command_token1 = 40, + aux_sym_pg_command_token2 = 41, + aux_sym_create_function_statement_token1 = 42, + aux_sym_create_function_statement_token2 = 43, + aux_sym_create_function_statement_token3 = 44, + aux_sym_optimizer_hint_token1 = 45, + aux_sym_optimizer_hint_token2 = 46, + aux_sym_optimizer_hint_token3 = 47, + aux_sym_parallel_hint_token1 = 48, + aux_sym_parallel_hint_token2 = 49, + aux_sym_parallel_hint_token3 = 50, + aux_sym_parallel_hint_token4 = 51, + aux_sym_null_hint_token1 = 52, + aux_sym_null_hint_token2 = 53, + aux_sym_null_hint_token3 = 54, + aux_sym_null_hint_token4 = 55, + aux_sym_null_hint_token5 = 56, + aux_sym__function_language_token1 = 57, + aux_sym_setof_token1 = 58, + aux_sym_create_function_parameter_token1 = 59, + aux_sym_create_function_parameter_token2 = 60, + aux_sym_create_function_parameter_token3 = 61, + aux_sym_create_function_parameter_token4 = 62, + anon_sym_EQ = 63, + aux_sym_create_extension_statement_token1 = 64, + aux_sym_create_role_statement_token1 = 65, + aux_sym_create_schema_statement_token1 = 66, + aux_sym_drop_statement_token1 = 67, + anon_sym_TABLE = 68, + anon_sym_VIEW = 69, + anon_sym_TABLESPACE = 70, + anon_sym_EXTENSION = 71, + anon_sym_INDEX = 72, + aux_sym_set_statement_token1 = 73, + aux_sym_set_statement_token2 = 74, + aux_sym_grant_statement_token1 = 75, + aux_sym_grant_statement_token2 = 76, + aux_sym_grant_statement_token3 = 77, + aux_sym_grant_statement_token4 = 78, + aux_sym_grant_statement_token5 = 79, + aux_sym_grant_statement_token6 = 80, + aux_sym_grant_statement_token7 = 81, + aux_sym_grant_statement_token8 = 82, + aux_sym_grant_statement_token9 = 83, + aux_sym_grant_statement_token10 = 84, + aux_sym_grant_statement_token11 = 85, + aux_sym_grant_statement_token12 = 86, + aux_sym_grant_statement_token13 = 87, + aux_sym_grant_statement_token14 = 88, + aux_sym_create_domain_statement_token1 = 89, + aux_sym_create_type_statement_token1 = 90, + aux_sym_create_index_include_clause_token1 = 91, + aux_sym_create_index_statement_token1 = 92, + aux_sym_auto_increment_constraint_token1 = 93, + aux_sym_direction_constraint_token1 = 94, + aux_sym_direction_constraint_token2 = 95, + anon_sym_CONSTRAINT = 96, + aux_sym_mode_token1 = 97, + aux_sym_initial_mode_token1 = 98, + aux_sym_initial_mode_token2 = 99, + aux_sym_initial_mode_token3 = 100, + aux_sym__table_constraint_token1 = 101, + aux_sym_table_constraint_check_token1 = 102, + aux_sym_table_constraint_exclude_token1 = 103, + aux_sym_table_constraint_exclude_token2 = 104, + aux_sym_table_constraint_foreign_key_token1 = 105, + aux_sym_table_constraint_foreign_key_token2 = 106, + aux_sym_table_constraint_unique_token1 = 107, + aux_sym_table_constraint_primary_key_token1 = 108, + aux_sym_order_by_clause_token1 = 109, + aux_sym_limit_clause_token1 = 110, + aux_sym_limit_clause_token2 = 111, + aux_sym_where_clause_token1 = 112, + aux_sym_from_clause_token1 = 113, + aux_sym_join_type_token1 = 114, + aux_sym_join_type_token2 = 115, + aux_sym_join_type_token3 = 116, + aux_sym_join_type_token4 = 117, + aux_sym_join_type_token5 = 118, + aux_sym_join_clause_token1 = 119, + aux_sym_select_subexpression_token1 = 120, + aux_sym_values_clause_token1 = 121, + aux_sym_conditional_expression_token1 = 122, + aux_sym_conditional_expression_token2 = 123, + aux_sym_conditional_expression_token3 = 124, + aux_sym_conditional_expression_token4 = 125, + aux_sym_conditional_expression_token5 = 126, + aux_sym__constraint_action_token1 = 127, + aux_sym__constraint_action_token2 = 128, + aux_sym_is_expression_token1 = 129, + aux_sym_distinct_from_token1 = 130, + aux_sym_boolean_expression_token1 = 131, + aux_sym_boolean_expression_token2 = 132, + aux_sym_at_time_zone_expression_token1 = 133, + aux_sym_at_time_zone_expression_token2 = 134, + aux_sym_at_time_zone_expression_token3 = 135, + aux_sym_TRUE_token1 = 136, + aux_sym_FALSE_token1 = 137, + aux_sym_number_token1 = 138, + sym__unquoted_identifier = 139, + anon_sym_BQUOTE = 140, + aux_sym__quoted_identifier_token1 = 141, + anon_sym_DQUOTE = 142, + aux_sym__quoted_identifier_token2 = 143, + anon_sym_DOT = 144, + anon_sym_SQUOTE = 145, + aux_sym_string_token1 = 146, + anon_sym_DOLLAR_DOLLAR = 147, + aux_sym_string_token2 = 148, + anon_sym_DASH_GT = 149, + anon_sym_DASH_GT_GT = 150, + anon_sym_POUND_GT = 151, + anon_sym_POUND_GT_GT = 152, + aux_sym_type_token1 = 153, + aux_sym_type_token2 = 154, + aux_sym_type_token3 = 155, + anon_sym_LBRACK = 156, + anon_sym_RBRACK = 157, + anon_sym_COLON_COLON = 158, + sym_comment = 159, + anon_sym_PLUS = 160, + anon_sym_DASH = 161, + anon_sym_BANG_BANG = 162, + anon_sym_TILDE = 163, + anon_sym_AT = 164, + anon_sym_PIPE_SLASH = 165, + anon_sym_PIPE_PIPE_SLASH = 166, + anon_sym_CARET = 167, + anon_sym_STAR = 168, + anon_sym_SLASH = 169, + anon_sym_PERCENT = 170, + anon_sym_LT_LT = 171, + anon_sym_GT_GT = 172, + anon_sym_AMP = 173, + anon_sym_PIPE = 174, + anon_sym_POUND = 175, + anon_sym_LT = 176, + anon_sym_LT_EQ = 177, + anon_sym_LT_GT = 178, + anon_sym_GT = 179, + anon_sym_GT_EQ = 180, + anon_sym_BANG_TILDE = 181, + anon_sym_TILDE_STAR = 182, + anon_sym_BANG_TILDE_STAR = 183, + anon_sym_AMP_AMP = 184, + anon_sym_PIPE_PIPE = 185, + anon_sym_DOT_STAR = 186, + aux_sym_interval_expression_token1 = 187, + anon_sym_DOLLAR = 188, + sym_source_file = 189, + sym__statement = 190, + sym_begin_statement = 191, + sym_commit_statement = 192, + sym_rollback_statement = 193, + sym_with_clause = 194, + sym_cte = 195, + sym_select_statement = 196, + sym_insert_statement = 197, + sym_update_statement = 198, + sym_delete_statement = 199, + sym_create_statement = 200, + sym_alter_statement = 201, + sym_alter_table = 202, + sym_alter_table_action_alter_column = 203, + sym_alter_table_action_add = 204, + sym_alter_table_action_set = 205, + sym_alter_table_rename_column = 206, + sym_alter_table_action = 207, + sym_sequence = 208, + sym_pg_command = 209, + sym_create_function_statement = 210, + sym_optimizer_hint = 211, + sym_parallel_hint = 212, + sym_null_hint = 213, + sym__function_language = 214, + sym__create_function_return_type = 215, + sym_setof = 216, + sym_constrained_type = 217, + sym_create_function_parameter = 218, + sym_create_function_parameters = 219, + sym_function_body = 220, + sym_create_extension_statement = 221, + sym_create_role_statement = 222, + sym_create_schema_statement = 223, + sym_drop_statement = 224, + sym_set_statement = 225, + sym_grant_statement = 226, + sym_create_domain_statement = 227, + sym_create_type_statement = 228, + sym_create_index_with_clause = 229, + sym_create_index_include_clause = 230, + sym_create_index_statement = 231, + sym_table_column = 232, + sym_auto_increment_constraint = 233, + sym_direction_constraint = 234, + sym_named_constraint = 235, + sym__column_default_expression = 236, + sym_column_default = 237, + sym_table_parameters = 238, + sym_mode = 239, + sym_initial_mode = 240, + sym__table_constraint = 241, + sym_table_constraint_check = 242, + sym_op_class = 243, + sym_exclude_entry = 244, + sym_table_constraint_exclude = 245, + sym_table_constraint_foreign_key = 246, + sym_table_constraint_unique = 247, + sym_table_constraint_primary_key = 248, + sym_primary_key_constraint = 249, + sym_create_table_statement = 250, + sym_using_clause = 251, + sym_index_table_parameters = 252, + sym__select_statement = 253, + sym_group_by_clause_body = 254, + sym_group_by_clause = 255, + sym_order_by_clause_body = 256, + sym_order_by_clause = 257, + sym_limit_clause = 258, + sym_where_clause = 259, + sym__aliased_expression = 260, + sym__aliasable_expression = 261, + sym_select_clause_body = 262, + sym_select_clause = 263, + sym_from_clause = 264, + sym_join_type = 265, + sym_join_clause = 266, + sym_select_subexpression = 267, + sym__update_statement = 268, + sym_set_clause = 269, + sym_set_clause_body = 270, + sym_assigment_expression = 271, + sym_values_clause = 272, + sym_values_clause_body = 273, + sym__delete_statement = 274, + sym_conditional_expression = 275, + sym_in_expression = 276, + sym_tuple = 277, + sym_references_constraint = 278, + sym_on_update_action = 279, + sym_on_delete_action = 280, + sym__constraint_action = 281, + sym_unique_constraint = 282, + sym_null_constraint = 283, + sym_check_constraint = 284, + sym_parameter = 285, + sym_parameters = 286, + sym_function_call = 287, + sym__parenthesized_expression = 288, + sym_is_expression = 289, + sym_distinct_from = 290, + sym_boolean_expression = 291, + sym_at_time_zone_expression = 292, + sym_NULL = 293, + sym_TRUE = 294, + sym_FALSE = 295, + sym_number = 296, + sym__quoted_identifier = 297, + sym_identifier = 298, + sym_dotted_name = 299, + sym__identifier = 300, + sym_string = 301, + sym_json_access = 302, + sym_ordered_expression = 303, + sym_type = 304, + sym_array_type = 305, + sym__type = 306, + sym_type_cast = 307, + sym_array_element_access = 308, + sym_unary_expression = 309, + sym_binary_expression = 310, + sym_binary_operator = 311, + sym_asterisk_expression = 312, + sym_interval_expression = 313, + sym_argument_reference = 314, + sym__expression = 315, + aux_sym_source_file_repeat1 = 316, + aux_sym_with_clause_repeat1 = 317, + aux_sym_sequence_repeat1 = 318, + aux_sym_create_function_statement_repeat1 = 319, + aux_sym_create_function_parameters_repeat1 = 320, + aux_sym_grant_statement_repeat1 = 321, + aux_sym_create_domain_statement_repeat1 = 322, + aux_sym_create_index_include_clause_repeat1 = 323, + aux_sym_table_column_repeat1 = 324, + aux_sym_table_parameters_repeat1 = 325, + aux_sym_table_constraint_exclude_repeat1 = 326, + aux_sym_table_constraint_unique_repeat1 = 327, + aux_sym_index_table_parameters_repeat1 = 328, + aux_sym__select_statement_repeat1 = 329, + aux_sym_group_by_clause_body_repeat1 = 330, + aux_sym_select_clause_body_repeat1 = 331, + aux_sym_set_clause_body_repeat1 = 332, + aux_sym_conditional_expression_repeat1 = 333, + aux_sym_references_constraint_repeat1 = 334, + aux_sym_parameters_repeat1 = 335, + aux_sym_dotted_name_repeat1 = 336, + aux_sym_array_type_repeat1 = 337, + anon_alias_sym_ALTER_COLUMN = 338, + anon_alias_sym_BY = 339, + anon_alias_sym_CREATE_SCHEMA = 340, + anon_alias_sym_DEFERRABLE = 341, + anon_alias_sym_EXISTS = 342, + anon_alias_sym_GROUP_BY = 343, + anon_alias_sym_IF = 344, + anon_alias_sym_IF_NOT_EXISTS = 345, + anon_alias_sym_NULL = 346, + anon_alias_sym_ON_DELETE = 347, + anon_alias_sym_ON_UPDATE = 348, + anon_alias_sym_SET_DEFAULT = 349, + anon_alias_sym_SET_NULL = 350, + anon_alias_sym_WITH = 351, + alias_sym_alter_sequence = 352, + alias_sym_default = 353, + alias_sym_language = 354, }; static const char * const ts_symbol_names[] = { @@ -358,30 +389,39 @@ static const char * const ts_symbol_names[] = { [aux_sym_begin_statement_token3] = "TRANSACTION", [aux_sym_commit_statement_token1] = "COMMIT", [aux_sym_rollback_statement_token1] = "ROLLBACK", + [aux_sym_with_clause_token1] = "WITH_GRANT_OPTION", + [aux_sym_with_clause_token2] = "RECURSIVE", + [anon_sym_COMMA] = ",", + [aux_sym_cte_token1] = "AS", + [aux_sym_cte_token2] = "NOT", + [aux_sym_cte_token3] = "MATERIALIZED", + [anon_sym_LPAREN] = "(", + [anon_sym_RPAREN] = ")", + [aux_sym_insert_statement_token1] = "INSERT", + [aux_sym_insert_statement_token2] = "INTO", [aux_sym_create_statement_token1] = "CREATE", [aux_sym_create_statement_token2] = "TEMP", [aux_sym_create_statement_token3] = "TEMPORARY", [aux_sym_alter_statement_token1] = "ALTER", [aux_sym_alter_table_token1] = "TABLE", - [aux_sym_alter_table_token2] = "IF", - [aux_sym_alter_table_token3] = "EXISTS", + [aux_sym_alter_table_token2] = "IF_EXISTS", + [aux_sym_alter_table_token3] = "IF_EXISTS", [aux_sym_alter_table_token4] = "ONLY", - [aux_sym_alter_table_action_alter_column_token1] = "ALTER_COLUMN", + [aux_sym_alter_table_action_alter_column_token1] = "COLUMN", [aux_sym_alter_table_action_alter_column_token2] = "SET", [aux_sym_alter_table_action_alter_column_token3] = "DEFAULT", [aux_sym_alter_table_action_add_token1] = "ADD", + [aux_sym_alter_table_rename_column_token1] = "RENAME", + [aux_sym_alter_table_rename_column_token2] = "TO", [aux_sym_sequence_token1] = "SEQUENCE", - [aux_sym_sequence_token2] = "NOT", - [aux_sym_sequence_token3] = "AS", - [aux_sym_sequence_token4] = "START", - [aux_sym_sequence_token5] = "WITH_GRANT_OPTION", - [aux_sym_sequence_token6] = "INCREMENT", - [aux_sym_sequence_token7] = "GROUP_BY", - [aux_sym_sequence_token8] = "NO", - [aux_sym_sequence_token9] = "MINVALUE", - [aux_sym_sequence_token10] = "MAXVALUE", - [aux_sym_sequence_token11] = "CACHE", - [aux_sym_sequence_token12] = "OWNED_BY", + [aux_sym_sequence_token2] = "START", + [aux_sym_sequence_token3] = "INCREMENT", + [aux_sym_sequence_token4] = "ORDER_BY", + [aux_sym_sequence_token5] = "NO", + [aux_sym_sequence_token6] = "MINVALUE", + [aux_sym_sequence_token7] = "MAXVALUE", + [aux_sym_sequence_token8] = "CACHE", + [aux_sym_sequence_token9] = "OWNED_BY", [aux_sym_pg_command_token1] = "pg_command_token1", [aux_sym_pg_command_token2] = "pg_command_token2", [aux_sym_create_function_statement_token1] = "CREATE_OR_REPLACE_FUNCTION", @@ -406,9 +446,6 @@ static const char * const ts_symbol_names[] = { [aux_sym_create_function_parameter_token3] = "INOUT", [aux_sym_create_function_parameter_token4] = "VARIADIC", [anon_sym_EQ] = "=", - [anon_sym_LPAREN] = "(", - [anon_sym_COMMA] = ",", - [anon_sym_RPAREN] = ")", [aux_sym_create_extension_statement_token1] = "CREATE_EXTENSION", [aux_sym_create_role_statement_token1] = "CREATE_ROLE", [aux_sym_create_schema_statement_token1] = "SCHEMA", @@ -420,22 +457,20 @@ static const char * const ts_symbol_names[] = { [anon_sym_INDEX] = "INDEX", [aux_sym_set_statement_token1] = "SESSION", [aux_sym_set_statement_token2] = "LOCAL", - [aux_sym_set_statement_token3] = "TO", [aux_sym_grant_statement_token1] = "GRANT", [aux_sym_grant_statement_token2] = "ALL", [aux_sym_grant_statement_token3] = "PRIVILEGES", [aux_sym_grant_statement_token4] = "SELECT", - [aux_sym_grant_statement_token5] = "INSERT", - [aux_sym_grant_statement_token6] = "UPDATE", - [aux_sym_grant_statement_token7] = "ON_DELETE", - [aux_sym_grant_statement_token8] = "TRUNCATE", - [aux_sym_grant_statement_token9] = "REFERENCES", - [aux_sym_grant_statement_token10] = "TRIGGER", - [aux_sym_grant_statement_token11] = "USAGE", - [aux_sym_grant_statement_token12] = "DATABASE", - [aux_sym_grant_statement_token13] = "GROUP", - [aux_sym_grant_statement_token14] = "PUBLIC", - [aux_sym_grant_statement_token15] = "WITH_GRANT_OPTION", + [aux_sym_grant_statement_token5] = "UPDATE", + [aux_sym_grant_statement_token6] = "DELETE", + [aux_sym_grant_statement_token7] = "TRUNCATE", + [aux_sym_grant_statement_token8] = "REFERENCES", + [aux_sym_grant_statement_token9] = "TRIGGER", + [aux_sym_grant_statement_token10] = "USAGE", + [aux_sym_grant_statement_token11] = "DATABASE", + [aux_sym_grant_statement_token12] = "GROUP", + [aux_sym_grant_statement_token13] = "PUBLIC", + [aux_sym_grant_statement_token14] = "WITH_GRANT_OPTION", [aux_sym_create_domain_statement_token1] = "CREATE_DOMAIN", [aux_sym_create_type_statement_token1] = "CREATE_TYPE", [aux_sym_create_index_include_clause_token1] = "INCLUDE", @@ -443,9 +478,6 @@ static const char * const ts_symbol_names[] = { [aux_sym_auto_increment_constraint_token1] = "AUTO_INCREMENT", [aux_sym_direction_constraint_token1] = "ASC", [aux_sym_direction_constraint_token2] = "DESC", - [aux_sym_time_zone_constraint_token1] = "WITHOUT", - [aux_sym_time_zone_constraint_token2] = "TIME_ZONE", - [aux_sym_time_zone_constraint_token3] = "TIME_ZONE", [anon_sym_CONSTRAINT] = "CONSTRAINT", [aux_sym_mode_token1] = "NOT_DEFERRABLE", [aux_sym_initial_mode_token1] = "INITIALLY", @@ -460,6 +492,8 @@ static const char * const ts_symbol_names[] = { [aux_sym_table_constraint_unique_token1] = "UNIQUE", [aux_sym_table_constraint_primary_key_token1] = "PRIMARY_KEY", [aux_sym_order_by_clause_token1] = "ORDER_BY", + [aux_sym_limit_clause_token1] = "LIMIT", + [aux_sym_limit_clause_token2] = "OFFSET", [aux_sym_where_clause_token1] = "WHERE", [aux_sym_from_clause_token1] = "FROM", [aux_sym_join_type_token1] = "INNER", @@ -468,14 +502,22 @@ static const char * const ts_symbol_names[] = { [aux_sym_join_type_token4] = "FULL", [aux_sym_join_type_token5] = "OUTER", [aux_sym_join_clause_token1] = "JOIN", - [aux_sym_insert_statement_token1] = "INTO", + [aux_sym_select_subexpression_token1] = "LATERAL", [aux_sym_values_clause_token1] = "VALUES", + [aux_sym_conditional_expression_token1] = "CASE", + [aux_sym_conditional_expression_token2] = "WHEN", + [aux_sym_conditional_expression_token3] = "THEN", + [aux_sym_conditional_expression_token4] = "ELSE", + [aux_sym_conditional_expression_token5] = "END", [aux_sym__constraint_action_token1] = "RESTRICT", [aux_sym__constraint_action_token2] = "CASCADE", [aux_sym_is_expression_token1] = "IS", [aux_sym_distinct_from_token1] = "DISTINCT_FROM", [aux_sym_boolean_expression_token1] = "AND", [aux_sym_boolean_expression_token2] = "OR", + [aux_sym_at_time_zone_expression_token1] = "AT_TIME_ZONE", + [aux_sym_at_time_zone_expression_token2] = "TIME_ZONE", + [aux_sym_at_time_zone_expression_token3] = "TIME_ZONE", [aux_sym_TRUE_token1] = "TRUE", [aux_sym_FALSE_token1] = "FALSE", [aux_sym_number_token1] = "number_token1", @@ -489,7 +531,13 @@ static const char * const ts_symbol_names[] = { [aux_sym_string_token1] = "string_token1", [anon_sym_DOLLAR_DOLLAR] = "$$", [aux_sym_string_token2] = "string_token2", + [anon_sym_DASH_GT] = "->", [anon_sym_DASH_GT_GT] = "->>", + [anon_sym_POUND_GT] = "#>", + [anon_sym_POUND_GT_GT] = "#>>", + [aux_sym_type_token1] = "VARYING", + [aux_sym_type_token2] = "PRECISION", + [aux_sym_type_token3] = "WITHOUT", [anon_sym_LBRACK] = "[", [anon_sym_RBRACK] = "]", [anon_sym_COLON_COLON] = "::", @@ -528,12 +576,19 @@ static const char * const ts_symbol_names[] = { [sym_begin_statement] = "begin_statement", [sym_commit_statement] = "commit_statement", [sym_rollback_statement] = "rollback_statement", + [sym_with_clause] = "with_clause", + [sym_cte] = "cte", + [sym_select_statement] = "select_statement", + [sym_insert_statement] = "insert_statement", + [sym_update_statement] = "update_statement", + [sym_delete_statement] = "delete_statement", [sym_create_statement] = "create_statement", [sym_alter_statement] = "alter_statement", [sym_alter_table] = "alter_table", [sym_alter_table_action_alter_column] = "alter_table_action_alter_column", [sym_alter_table_action_add] = "alter_table_action_add", [sym_alter_table_action_set] = "alter_table_action_set", + [sym_alter_table_rename_column] = "alter_table_rename_column", [sym_alter_table_action] = "alter_table_action", [sym_sequence] = "create_sequence", [sym_pg_command] = "pg_command", @@ -562,7 +617,6 @@ static const char * const ts_symbol_names[] = { [sym_table_column] = "table_column", [sym_auto_increment_constraint] = "auto_increment_constraint", [sym_direction_constraint] = "direction_constraint", - [sym_time_zone_constraint] = "time_zone_constraint", [sym_named_constraint] = "named_constraint", [sym__column_default_expression] = "_column_default_expression", [sym_column_default] = "column_default", @@ -581,11 +635,12 @@ static const char * const ts_symbol_names[] = { [sym_create_table_statement] = "create_table_statement", [sym_using_clause] = "using_clause", [sym_index_table_parameters] = "index_table_parameters", - [sym_select_statement] = "select_statement", + [sym__select_statement] = "_select_statement", [sym_group_by_clause_body] = "group_by_clause_body", [sym_group_by_clause] = "group_by_clause", [sym_order_by_clause_body] = "order_by_clause_body", [sym_order_by_clause] = "order_by_clause", + [sym_limit_clause] = "limit_clause", [sym_where_clause] = "where_clause", [sym__aliased_expression] = "alias", [sym__aliasable_expression] = "_aliasable_expression", @@ -595,13 +650,14 @@ static const char * const ts_symbol_names[] = { [sym_join_type] = "join_type", [sym_join_clause] = "join_clause", [sym_select_subexpression] = "select_subexpression", - [sym_update_statement] = "update_statement", + [sym__update_statement] = "_update_statement", [sym_set_clause] = "set_clause", [sym_set_clause_body] = "set_clause_body", [sym_assigment_expression] = "assigment_expression", - [sym_insert_statement] = "insert_statement", [sym_values_clause] = "values_clause", [sym_values_clause_body] = "values_clause_body", + [sym__delete_statement] = "_delete_statement", + [sym_conditional_expression] = "conditional_expression", [sym_in_expression] = "in_expression", [sym_tuple] = "tuple", [sym_references_constraint] = "references_constraint", @@ -618,6 +674,7 @@ static const char * const ts_symbol_names[] = { [sym_is_expression] = "is_expression", [sym_distinct_from] = "distinct_from", [sym_boolean_expression] = "boolean_expression", + [sym_at_time_zone_expression] = "at_time_zone_expression", [sym_NULL] = "NULL", [sym_TRUE] = "TRUE", [sym_FALSE] = "FALSE", @@ -626,10 +683,10 @@ static const char * const ts_symbol_names[] = { [sym_identifier] = "identifier", [sym_dotted_name] = "dotted_name", [sym__identifier] = "_identifier", - [sym_type] = "type", [sym_string] = "string", - [sym_field_access] = "field_access", + [sym_json_access] = "json_access", [sym_ordered_expression] = "ordered_expression", + [sym_type] = "type", [sym_array_type] = "array_type", [sym__type] = "_type", [sym_type_cast] = "type_cast", @@ -642,6 +699,7 @@ static const char * const ts_symbol_names[] = { [sym_argument_reference] = "argument_reference", [sym__expression] = "_expression", [aux_sym_source_file_repeat1] = "source_file_repeat1", + [aux_sym_with_clause_repeat1] = "with_clause_repeat1", [aux_sym_sequence_repeat1] = "sequence_repeat1", [aux_sym_create_function_statement_repeat1] = "create_function_statement_repeat1", [aux_sym_create_function_parameters_repeat1] = "create_function_parameters_repeat1", @@ -653,21 +711,25 @@ static const char * const ts_symbol_names[] = { [aux_sym_table_constraint_exclude_repeat1] = "table_constraint_exclude_repeat1", [aux_sym_table_constraint_unique_repeat1] = "table_constraint_unique_repeat1", [aux_sym_index_table_parameters_repeat1] = "index_table_parameters_repeat1", - [aux_sym_select_statement_repeat1] = "select_statement_repeat1", + [aux_sym__select_statement_repeat1] = "_select_statement_repeat1", [aux_sym_group_by_clause_body_repeat1] = "group_by_clause_body_repeat1", [aux_sym_select_clause_body_repeat1] = "select_clause_body_repeat1", [aux_sym_set_clause_body_repeat1] = "set_clause_body_repeat1", + [aux_sym_conditional_expression_repeat1] = "conditional_expression_repeat1", [aux_sym_references_constraint_repeat1] = "references_constraint_repeat1", [aux_sym_parameters_repeat1] = "parameters_repeat1", [aux_sym_dotted_name_repeat1] = "dotted_name_repeat1", + [aux_sym_array_type_repeat1] = "array_type_repeat1", + [anon_alias_sym_ALTER_COLUMN] = "ALTER_COLUMN", [anon_alias_sym_BY] = "BY", - [anon_alias_sym_COLUMN] = "COLUMN", [anon_alias_sym_CREATE_SCHEMA] = "CREATE_SCHEMA", [anon_alias_sym_DEFERRABLE] = "DEFERRABLE", - [anon_alias_sym_DELETE] = "DELETE", - [anon_alias_sym_IF_EXISTS] = "IF_EXISTS", + [anon_alias_sym_EXISTS] = "EXISTS", + [anon_alias_sym_GROUP_BY] = "GROUP_BY", + [anon_alias_sym_IF] = "IF", [anon_alias_sym_IF_NOT_EXISTS] = "IF_NOT_EXISTS", [anon_alias_sym_NULL] = "NULL", + [anon_alias_sym_ON_DELETE] = "ON_DELETE", [anon_alias_sym_ON_UPDATE] = "ON_UPDATE", [anon_alias_sym_SET_DEFAULT] = "SET_DEFAULT", [anon_alias_sym_SET_NULL] = "SET_NULL", @@ -685,18 +747,30 @@ static const TSSymbol ts_symbol_map[] = { [aux_sym_begin_statement_token3] = aux_sym_begin_statement_token3, [aux_sym_commit_statement_token1] = aux_sym_commit_statement_token1, [aux_sym_rollback_statement_token1] = aux_sym_rollback_statement_token1, + [aux_sym_with_clause_token1] = aux_sym_with_clause_token1, + [aux_sym_with_clause_token2] = aux_sym_with_clause_token2, + [anon_sym_COMMA] = anon_sym_COMMA, + [aux_sym_cte_token1] = aux_sym_cte_token1, + [aux_sym_cte_token2] = aux_sym_cte_token2, + [aux_sym_cte_token3] = aux_sym_cte_token3, + [anon_sym_LPAREN] = anon_sym_LPAREN, + [anon_sym_RPAREN] = anon_sym_RPAREN, + [aux_sym_insert_statement_token1] = aux_sym_insert_statement_token1, + [aux_sym_insert_statement_token2] = aux_sym_insert_statement_token2, [aux_sym_create_statement_token1] = aux_sym_create_statement_token1, [aux_sym_create_statement_token2] = aux_sym_create_statement_token2, [aux_sym_create_statement_token3] = aux_sym_create_statement_token3, [aux_sym_alter_statement_token1] = aux_sym_alter_statement_token1, [aux_sym_alter_table_token1] = anon_sym_TABLE, [aux_sym_alter_table_token2] = aux_sym_alter_table_token2, - [aux_sym_alter_table_token3] = aux_sym_alter_table_token3, + [aux_sym_alter_table_token3] = aux_sym_alter_table_token2, [aux_sym_alter_table_token4] = aux_sym_alter_table_token4, [aux_sym_alter_table_action_alter_column_token1] = aux_sym_alter_table_action_alter_column_token1, [aux_sym_alter_table_action_alter_column_token2] = aux_sym_alter_table_action_alter_column_token2, [aux_sym_alter_table_action_alter_column_token3] = aux_sym_alter_table_action_alter_column_token3, [aux_sym_alter_table_action_add_token1] = aux_sym_alter_table_action_add_token1, + [aux_sym_alter_table_rename_column_token1] = aux_sym_alter_table_rename_column_token1, + [aux_sym_alter_table_rename_column_token2] = aux_sym_alter_table_rename_column_token2, [aux_sym_sequence_token1] = aux_sym_sequence_token1, [aux_sym_sequence_token2] = aux_sym_sequence_token2, [aux_sym_sequence_token3] = aux_sym_sequence_token3, @@ -706,9 +780,6 @@ static const TSSymbol ts_symbol_map[] = { [aux_sym_sequence_token7] = aux_sym_sequence_token7, [aux_sym_sequence_token8] = aux_sym_sequence_token8, [aux_sym_sequence_token9] = aux_sym_sequence_token9, - [aux_sym_sequence_token10] = aux_sym_sequence_token10, - [aux_sym_sequence_token11] = aux_sym_sequence_token11, - [aux_sym_sequence_token12] = aux_sym_sequence_token12, [aux_sym_pg_command_token1] = aux_sym_pg_command_token1, [aux_sym_pg_command_token2] = aux_sym_pg_command_token2, [aux_sym_create_function_statement_token1] = aux_sym_create_function_statement_token1, @@ -733,9 +804,6 @@ static const TSSymbol ts_symbol_map[] = { [aux_sym_create_function_parameter_token3] = aux_sym_create_function_parameter_token3, [aux_sym_create_function_parameter_token4] = aux_sym_create_function_parameter_token4, [anon_sym_EQ] = anon_sym_EQ, - [anon_sym_LPAREN] = anon_sym_LPAREN, - [anon_sym_COMMA] = anon_sym_COMMA, - [anon_sym_RPAREN] = anon_sym_RPAREN, [aux_sym_create_extension_statement_token1] = aux_sym_create_extension_statement_token1, [aux_sym_create_role_statement_token1] = aux_sym_create_role_statement_token1, [aux_sym_create_schema_statement_token1] = aux_sym_create_schema_statement_token1, @@ -747,7 +815,6 @@ static const TSSymbol ts_symbol_map[] = { [anon_sym_INDEX] = anon_sym_INDEX, [aux_sym_set_statement_token1] = aux_sym_set_statement_token1, [aux_sym_set_statement_token2] = aux_sym_set_statement_token2, - [aux_sym_set_statement_token3] = aux_sym_set_statement_token3, [aux_sym_grant_statement_token1] = aux_sym_grant_statement_token1, [aux_sym_grant_statement_token2] = aux_sym_grant_statement_token2, [aux_sym_grant_statement_token3] = aux_sym_grant_statement_token3, @@ -761,8 +828,7 @@ static const TSSymbol ts_symbol_map[] = { [aux_sym_grant_statement_token11] = aux_sym_grant_statement_token11, [aux_sym_grant_statement_token12] = aux_sym_grant_statement_token12, [aux_sym_grant_statement_token13] = aux_sym_grant_statement_token13, - [aux_sym_grant_statement_token14] = aux_sym_grant_statement_token14, - [aux_sym_grant_statement_token15] = aux_sym_sequence_token5, + [aux_sym_grant_statement_token14] = aux_sym_with_clause_token1, [aux_sym_create_domain_statement_token1] = aux_sym_create_domain_statement_token1, [aux_sym_create_type_statement_token1] = aux_sym_create_type_statement_token1, [aux_sym_create_index_include_clause_token1] = aux_sym_create_index_include_clause_token1, @@ -770,9 +836,6 @@ static const TSSymbol ts_symbol_map[] = { [aux_sym_auto_increment_constraint_token1] = aux_sym_auto_increment_constraint_token1, [aux_sym_direction_constraint_token1] = aux_sym_direction_constraint_token1, [aux_sym_direction_constraint_token2] = aux_sym_direction_constraint_token2, - [aux_sym_time_zone_constraint_token1] = aux_sym_time_zone_constraint_token1, - [aux_sym_time_zone_constraint_token2] = aux_sym_time_zone_constraint_token2, - [aux_sym_time_zone_constraint_token3] = aux_sym_time_zone_constraint_token2, [anon_sym_CONSTRAINT] = anon_sym_CONSTRAINT, [aux_sym_mode_token1] = aux_sym_mode_token1, [aux_sym_initial_mode_token1] = aux_sym_initial_mode_token1, @@ -786,7 +849,9 @@ static const TSSymbol ts_symbol_map[] = { [aux_sym_table_constraint_foreign_key_token2] = aux_sym_table_constraint_foreign_key_token2, [aux_sym_table_constraint_unique_token1] = aux_sym_table_constraint_unique_token1, [aux_sym_table_constraint_primary_key_token1] = aux_sym_table_constraint_foreign_key_token2, - [aux_sym_order_by_clause_token1] = aux_sym_order_by_clause_token1, + [aux_sym_order_by_clause_token1] = aux_sym_sequence_token4, + [aux_sym_limit_clause_token1] = aux_sym_limit_clause_token1, + [aux_sym_limit_clause_token2] = aux_sym_limit_clause_token2, [aux_sym_where_clause_token1] = aux_sym_where_clause_token1, [aux_sym_from_clause_token1] = aux_sym_from_clause_token1, [aux_sym_join_type_token1] = aux_sym_join_type_token1, @@ -795,14 +860,22 @@ static const TSSymbol ts_symbol_map[] = { [aux_sym_join_type_token4] = aux_sym_join_type_token4, [aux_sym_join_type_token5] = aux_sym_join_type_token5, [aux_sym_join_clause_token1] = aux_sym_join_clause_token1, - [aux_sym_insert_statement_token1] = aux_sym_insert_statement_token1, + [aux_sym_select_subexpression_token1] = aux_sym_select_subexpression_token1, [aux_sym_values_clause_token1] = aux_sym_values_clause_token1, + [aux_sym_conditional_expression_token1] = aux_sym_conditional_expression_token1, + [aux_sym_conditional_expression_token2] = aux_sym_conditional_expression_token2, + [aux_sym_conditional_expression_token3] = aux_sym_conditional_expression_token3, + [aux_sym_conditional_expression_token4] = aux_sym_conditional_expression_token4, + [aux_sym_conditional_expression_token5] = aux_sym_conditional_expression_token5, [aux_sym__constraint_action_token1] = aux_sym__constraint_action_token1, [aux_sym__constraint_action_token2] = aux_sym__constraint_action_token2, [aux_sym_is_expression_token1] = aux_sym_is_expression_token1, [aux_sym_distinct_from_token1] = aux_sym_distinct_from_token1, [aux_sym_boolean_expression_token1] = aux_sym_boolean_expression_token1, [aux_sym_boolean_expression_token2] = aux_sym_boolean_expression_token2, + [aux_sym_at_time_zone_expression_token1] = aux_sym_at_time_zone_expression_token1, + [aux_sym_at_time_zone_expression_token2] = aux_sym_at_time_zone_expression_token2, + [aux_sym_at_time_zone_expression_token3] = aux_sym_at_time_zone_expression_token2, [aux_sym_TRUE_token1] = aux_sym_TRUE_token1, [aux_sym_FALSE_token1] = aux_sym_FALSE_token1, [aux_sym_number_token1] = aux_sym_number_token1, @@ -816,7 +889,13 @@ static const TSSymbol ts_symbol_map[] = { [aux_sym_string_token1] = aux_sym_string_token1, [anon_sym_DOLLAR_DOLLAR] = anon_sym_DOLLAR_DOLLAR, [aux_sym_string_token2] = aux_sym_string_token2, + [anon_sym_DASH_GT] = anon_sym_DASH_GT, [anon_sym_DASH_GT_GT] = anon_sym_DASH_GT_GT, + [anon_sym_POUND_GT] = anon_sym_POUND_GT, + [anon_sym_POUND_GT_GT] = anon_sym_POUND_GT_GT, + [aux_sym_type_token1] = aux_sym_type_token1, + [aux_sym_type_token2] = aux_sym_type_token2, + [aux_sym_type_token3] = aux_sym_type_token3, [anon_sym_LBRACK] = anon_sym_LBRACK, [anon_sym_RBRACK] = anon_sym_RBRACK, [anon_sym_COLON_COLON] = anon_sym_COLON_COLON, @@ -855,12 +934,19 @@ static const TSSymbol ts_symbol_map[] = { [sym_begin_statement] = sym_begin_statement, [sym_commit_statement] = sym_commit_statement, [sym_rollback_statement] = sym_rollback_statement, + [sym_with_clause] = sym_with_clause, + [sym_cte] = sym_cte, + [sym_select_statement] = sym_select_statement, + [sym_insert_statement] = sym_insert_statement, + [sym_update_statement] = sym_update_statement, + [sym_delete_statement] = sym_delete_statement, [sym_create_statement] = sym_create_statement, [sym_alter_statement] = sym_alter_statement, [sym_alter_table] = sym_alter_table, [sym_alter_table_action_alter_column] = sym_alter_table_action_alter_column, [sym_alter_table_action_add] = sym_alter_table_action_add, [sym_alter_table_action_set] = sym_alter_table_action_set, + [sym_alter_table_rename_column] = sym_alter_table_rename_column, [sym_alter_table_action] = sym_alter_table_action, [sym_sequence] = sym_sequence, [sym_pg_command] = sym_pg_command, @@ -889,7 +975,6 @@ static const TSSymbol ts_symbol_map[] = { [sym_table_column] = sym_table_column, [sym_auto_increment_constraint] = sym_auto_increment_constraint, [sym_direction_constraint] = sym_direction_constraint, - [sym_time_zone_constraint] = sym_time_zone_constraint, [sym_named_constraint] = sym_named_constraint, [sym__column_default_expression] = sym__column_default_expression, [sym_column_default] = sym_column_default, @@ -908,11 +993,12 @@ static const TSSymbol ts_symbol_map[] = { [sym_create_table_statement] = sym_create_table_statement, [sym_using_clause] = sym_using_clause, [sym_index_table_parameters] = sym_index_table_parameters, - [sym_select_statement] = sym_select_statement, + [sym__select_statement] = sym__select_statement, [sym_group_by_clause_body] = sym_group_by_clause_body, [sym_group_by_clause] = sym_group_by_clause, [sym_order_by_clause_body] = sym_order_by_clause_body, [sym_order_by_clause] = sym_order_by_clause, + [sym_limit_clause] = sym_limit_clause, [sym_where_clause] = sym_where_clause, [sym__aliased_expression] = sym__aliased_expression, [sym__aliasable_expression] = sym__aliasable_expression, @@ -922,13 +1008,14 @@ static const TSSymbol ts_symbol_map[] = { [sym_join_type] = sym_join_type, [sym_join_clause] = sym_join_clause, [sym_select_subexpression] = sym_select_subexpression, - [sym_update_statement] = sym_update_statement, + [sym__update_statement] = sym__update_statement, [sym_set_clause] = sym_set_clause, [sym_set_clause_body] = sym_set_clause_body, [sym_assigment_expression] = sym_assigment_expression, - [sym_insert_statement] = sym_insert_statement, [sym_values_clause] = sym_values_clause, [sym_values_clause_body] = sym_values_clause_body, + [sym__delete_statement] = sym__delete_statement, + [sym_conditional_expression] = sym_conditional_expression, [sym_in_expression] = sym_in_expression, [sym_tuple] = sym_tuple, [sym_references_constraint] = sym_references_constraint, @@ -945,6 +1032,7 @@ static const TSSymbol ts_symbol_map[] = { [sym_is_expression] = sym_is_expression, [sym_distinct_from] = sym_distinct_from, [sym_boolean_expression] = sym_boolean_expression, + [sym_at_time_zone_expression] = sym_at_time_zone_expression, [sym_NULL] = sym_NULL, [sym_TRUE] = sym_TRUE, [sym_FALSE] = sym_FALSE, @@ -953,10 +1041,10 @@ static const TSSymbol ts_symbol_map[] = { [sym_identifier] = sym_identifier, [sym_dotted_name] = sym_dotted_name, [sym__identifier] = sym__identifier, - [sym_type] = sym_type, [sym_string] = sym_string, - [sym_field_access] = sym_field_access, + [sym_json_access] = sym_json_access, [sym_ordered_expression] = sym_ordered_expression, + [sym_type] = sym_type, [sym_array_type] = sym_array_type, [sym__type] = sym__type, [sym_type_cast] = sym_type_cast, @@ -969,6 +1057,7 @@ static const TSSymbol ts_symbol_map[] = { [sym_argument_reference] = sym_argument_reference, [sym__expression] = sym__expression, [aux_sym_source_file_repeat1] = aux_sym_source_file_repeat1, + [aux_sym_with_clause_repeat1] = aux_sym_with_clause_repeat1, [aux_sym_sequence_repeat1] = aux_sym_sequence_repeat1, [aux_sym_create_function_statement_repeat1] = aux_sym_create_function_statement_repeat1, [aux_sym_create_function_parameters_repeat1] = aux_sym_create_function_parameters_repeat1, @@ -980,21 +1069,25 @@ static const TSSymbol ts_symbol_map[] = { [aux_sym_table_constraint_exclude_repeat1] = aux_sym_table_constraint_exclude_repeat1, [aux_sym_table_constraint_unique_repeat1] = aux_sym_table_constraint_unique_repeat1, [aux_sym_index_table_parameters_repeat1] = aux_sym_index_table_parameters_repeat1, - [aux_sym_select_statement_repeat1] = aux_sym_select_statement_repeat1, + [aux_sym__select_statement_repeat1] = aux_sym__select_statement_repeat1, [aux_sym_group_by_clause_body_repeat1] = aux_sym_group_by_clause_body_repeat1, [aux_sym_select_clause_body_repeat1] = aux_sym_select_clause_body_repeat1, [aux_sym_set_clause_body_repeat1] = aux_sym_set_clause_body_repeat1, + [aux_sym_conditional_expression_repeat1] = aux_sym_conditional_expression_repeat1, [aux_sym_references_constraint_repeat1] = aux_sym_references_constraint_repeat1, [aux_sym_parameters_repeat1] = aux_sym_parameters_repeat1, [aux_sym_dotted_name_repeat1] = aux_sym_dotted_name_repeat1, + [aux_sym_array_type_repeat1] = aux_sym_array_type_repeat1, + [anon_alias_sym_ALTER_COLUMN] = anon_alias_sym_ALTER_COLUMN, [anon_alias_sym_BY] = anon_alias_sym_BY, - [anon_alias_sym_COLUMN] = anon_alias_sym_COLUMN, [anon_alias_sym_CREATE_SCHEMA] = anon_alias_sym_CREATE_SCHEMA, [anon_alias_sym_DEFERRABLE] = anon_alias_sym_DEFERRABLE, - [anon_alias_sym_DELETE] = anon_alias_sym_DELETE, - [anon_alias_sym_IF_EXISTS] = anon_alias_sym_IF_EXISTS, + [anon_alias_sym_EXISTS] = anon_alias_sym_EXISTS, + [anon_alias_sym_GROUP_BY] = anon_alias_sym_GROUP_BY, + [anon_alias_sym_IF] = anon_alias_sym_IF, [anon_alias_sym_IF_NOT_EXISTS] = anon_alias_sym_IF_NOT_EXISTS, [anon_alias_sym_NULL] = anon_alias_sym_NULL, + [anon_alias_sym_ON_DELETE] = anon_alias_sym_ON_DELETE, [anon_alias_sym_ON_UPDATE] = anon_alias_sym_ON_UPDATE, [anon_alias_sym_SET_DEFAULT] = anon_alias_sym_SET_DEFAULT, [anon_alias_sym_SET_NULL] = anon_alias_sym_SET_NULL, @@ -1033,6 +1126,46 @@ static const TSSymbolMetadata ts_symbol_metadata[] = { .visible = true, .named = false, }, + [aux_sym_with_clause_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_with_clause_token2] = { + .visible = true, + .named = false, + }, + [anon_sym_COMMA] = { + .visible = true, + .named = false, + }, + [aux_sym_cte_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_cte_token2] = { + .visible = true, + .named = false, + }, + [aux_sym_cte_token3] = { + .visible = true, + .named = false, + }, + [anon_sym_LPAREN] = { + .visible = true, + .named = false, + }, + [anon_sym_RPAREN] = { + .visible = true, + .named = false, + }, + [aux_sym_insert_statement_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_insert_statement_token2] = { + .visible = true, + .named = false, + }, [aux_sym_create_statement_token1] = { .visible = true, .named = false, @@ -1081,6 +1214,14 @@ static const TSSymbolMetadata ts_symbol_metadata[] = { .visible = true, .named = false, }, + [aux_sym_alter_table_rename_column_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_alter_table_rename_column_token2] = { + .visible = true, + .named = false, + }, [aux_sym_sequence_token1] = { .visible = true, .named = false, @@ -1117,18 +1258,6 @@ static const TSSymbolMetadata ts_symbol_metadata[] = { .visible = true, .named = false, }, - [aux_sym_sequence_token10] = { - .visible = true, - .named = false, - }, - [aux_sym_sequence_token11] = { - .visible = true, - .named = false, - }, - [aux_sym_sequence_token12] = { - .visible = true, - .named = false, - }, [aux_sym_pg_command_token1] = { .visible = false, .named = false, @@ -1225,18 +1354,6 @@ static const TSSymbolMetadata ts_symbol_metadata[] = { .visible = true, .named = false, }, - [anon_sym_LPAREN] = { - .visible = true, - .named = false, - }, - [anon_sym_COMMA] = { - .visible = true, - .named = false, - }, - [anon_sym_RPAREN] = { - .visible = true, - .named = false, - }, [aux_sym_create_extension_statement_token1] = { .visible = true, .named = false, @@ -1281,10 +1398,6 @@ static const TSSymbolMetadata ts_symbol_metadata[] = { .visible = true, .named = false, }, - [aux_sym_set_statement_token3] = { - .visible = true, - .named = false, - }, [aux_sym_grant_statement_token1] = { .visible = true, .named = false, @@ -1341,10 +1454,6 @@ static const TSSymbolMetadata ts_symbol_metadata[] = { .visible = true, .named = false, }, - [aux_sym_grant_statement_token15] = { - .visible = true, - .named = false, - }, [aux_sym_create_domain_statement_token1] = { .visible = true, .named = false, @@ -1373,18 +1482,6 @@ static const TSSymbolMetadata ts_symbol_metadata[] = { .visible = true, .named = false, }, - [aux_sym_time_zone_constraint_token1] = { - .visible = true, - .named = false, - }, - [aux_sym_time_zone_constraint_token2] = { - .visible = true, - .named = false, - }, - [aux_sym_time_zone_constraint_token3] = { - .visible = true, - .named = false, - }, [anon_sym_CONSTRAINT] = { .visible = true, .named = false, @@ -1441,6 +1538,14 @@ static const TSSymbolMetadata ts_symbol_metadata[] = { .visible = true, .named = false, }, + [aux_sym_limit_clause_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_limit_clause_token2] = { + .visible = true, + .named = false, + }, [aux_sym_where_clause_token1] = { .visible = true, .named = false, @@ -1473,7 +1578,7 @@ static const TSSymbolMetadata ts_symbol_metadata[] = { .visible = true, .named = false, }, - [aux_sym_insert_statement_token1] = { + [aux_sym_select_subexpression_token1] = { .visible = true, .named = false, }, @@ -1481,6 +1586,26 @@ static const TSSymbolMetadata ts_symbol_metadata[] = { .visible = true, .named = false, }, + [aux_sym_conditional_expression_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_conditional_expression_token2] = { + .visible = true, + .named = false, + }, + [aux_sym_conditional_expression_token3] = { + .visible = true, + .named = false, + }, + [aux_sym_conditional_expression_token4] = { + .visible = true, + .named = false, + }, + [aux_sym_conditional_expression_token5] = { + .visible = true, + .named = false, + }, [aux_sym__constraint_action_token1] = { .visible = true, .named = false, @@ -1505,6 +1630,18 @@ static const TSSymbolMetadata ts_symbol_metadata[] = { .visible = true, .named = false, }, + [aux_sym_at_time_zone_expression_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_at_time_zone_expression_token2] = { + .visible = true, + .named = false, + }, + [aux_sym_at_time_zone_expression_token3] = { + .visible = true, + .named = false, + }, [aux_sym_TRUE_token1] = { .visible = true, .named = false, @@ -1557,10 +1694,34 @@ static const TSSymbolMetadata ts_symbol_metadata[] = { .visible = false, .named = false, }, + [anon_sym_DASH_GT] = { + .visible = true, + .named = false, + }, [anon_sym_DASH_GT_GT] = { .visible = true, .named = false, }, + [anon_sym_POUND_GT] = { + .visible = true, + .named = false, + }, + [anon_sym_POUND_GT_GT] = { + .visible = true, + .named = false, + }, + [aux_sym_type_token1] = { + .visible = true, + .named = false, + }, + [aux_sym_type_token2] = { + .visible = true, + .named = false, + }, + [aux_sym_type_token3] = { + .visible = true, + .named = false, + }, [anon_sym_LBRACK] = { .visible = true, .named = false, @@ -1713,6 +1874,30 @@ static const TSSymbolMetadata ts_symbol_metadata[] = { .visible = true, .named = true, }, + [sym_with_clause] = { + .visible = true, + .named = true, + }, + [sym_cte] = { + .visible = true, + .named = true, + }, + [sym_select_statement] = { + .visible = true, + .named = true, + }, + [sym_insert_statement] = { + .visible = true, + .named = true, + }, + [sym_update_statement] = { + .visible = true, + .named = true, + }, + [sym_delete_statement] = { + .visible = true, + .named = true, + }, [sym_create_statement] = { .visible = true, .named = true, @@ -1737,6 +1922,10 @@ static const TSSymbolMetadata ts_symbol_metadata[] = { .visible = true, .named = true, }, + [sym_alter_table_rename_column] = { + .visible = true, + .named = true, + }, [sym_alter_table_action] = { .visible = true, .named = true, @@ -1849,10 +2038,6 @@ static const TSSymbolMetadata ts_symbol_metadata[] = { .visible = true, .named = true, }, - [sym_time_zone_constraint] = { - .visible = true, - .named = true, - }, [sym_named_constraint] = { .visible = true, .named = true, @@ -1925,8 +2110,8 @@ static const TSSymbolMetadata ts_symbol_metadata[] = { .visible = true, .named = true, }, - [sym_select_statement] = { - .visible = true, + [sym__select_statement] = { + .visible = false, .named = true, }, [sym_group_by_clause_body] = { @@ -1945,6 +2130,10 @@ static const TSSymbolMetadata ts_symbol_metadata[] = { .visible = true, .named = true, }, + [sym_limit_clause] = { + .visible = true, + .named = true, + }, [sym_where_clause] = { .visible = true, .named = true, @@ -1981,8 +2170,8 @@ static const TSSymbolMetadata ts_symbol_metadata[] = { .visible = true, .named = true, }, - [sym_update_statement] = { - .visible = true, + [sym__update_statement] = { + .visible = false, .named = true, }, [sym_set_clause] = { @@ -1997,15 +2186,19 @@ static const TSSymbolMetadata ts_symbol_metadata[] = { .visible = true, .named = true, }, - [sym_insert_statement] = { + [sym_values_clause] = { .visible = true, .named = true, }, - [sym_values_clause] = { + [sym_values_clause_body] = { .visible = true, .named = true, }, - [sym_values_clause_body] = { + [sym__delete_statement] = { + .visible = false, + .named = true, + }, + [sym_conditional_expression] = { .visible = true, .named = true, }, @@ -2073,6 +2266,10 @@ static const TSSymbolMetadata ts_symbol_metadata[] = { .visible = true, .named = true, }, + [sym_at_time_zone_expression] = { + .visible = true, + .named = true, + }, [sym_NULL] = { .visible = true, .named = true, @@ -2105,19 +2302,19 @@ static const TSSymbolMetadata ts_symbol_metadata[] = { .visible = false, .named = true, }, - [sym_type] = { + [sym_string] = { .visible = true, .named = true, }, - [sym_string] = { + [sym_json_access] = { .visible = true, .named = true, }, - [sym_field_access] = { + [sym_ordered_expression] = { .visible = true, .named = true, }, - [sym_ordered_expression] = { + [sym_type] = { .visible = true, .named = true, }, @@ -2169,6 +2366,10 @@ static const TSSymbolMetadata ts_symbol_metadata[] = { .visible = false, .named = false, }, + [aux_sym_with_clause_repeat1] = { + .visible = false, + .named = false, + }, [aux_sym_sequence_repeat1] = { .visible = false, .named = false, @@ -2213,7 +2414,7 @@ static const TSSymbolMetadata ts_symbol_metadata[] = { .visible = false, .named = false, }, - [aux_sym_select_statement_repeat1] = { + [aux_sym__select_statement_repeat1] = { .visible = false, .named = false, }, @@ -2229,6 +2430,10 @@ static const TSSymbolMetadata ts_symbol_metadata[] = { .visible = false, .named = false, }, + [aux_sym_conditional_expression_repeat1] = { + .visible = false, + .named = false, + }, [aux_sym_references_constraint_repeat1] = { .visible = false, .named = false, @@ -2241,11 +2446,15 @@ static const TSSymbolMetadata ts_symbol_metadata[] = { .visible = false, .named = false, }, - [anon_alias_sym_BY] = { + [aux_sym_array_type_repeat1] = { + .visible = false, + .named = false, + }, + [anon_alias_sym_ALTER_COLUMN] = { .visible = true, .named = false, }, - [anon_alias_sym_COLUMN] = { + [anon_alias_sym_BY] = { .visible = true, .named = false, }, @@ -2257,11 +2466,15 @@ static const TSSymbolMetadata ts_symbol_metadata[] = { .visible = true, .named = false, }, - [anon_alias_sym_DELETE] = { + [anon_alias_sym_EXISTS] = { .visible = true, .named = false, }, - [anon_alias_sym_IF_EXISTS] = { + [anon_alias_sym_GROUP_BY] = { + .visible = true, + .named = false, + }, + [anon_alias_sym_IF] = { .visible = true, .named = false, }, @@ -2273,6 +2486,10 @@ static const TSSymbolMetadata ts_symbol_metadata[] = { .visible = true, .named = false, }, + [anon_alias_sym_ON_DELETE] = { + .visible = true, + .named = false, + }, [anon_alias_sym_ON_UPDATE] = { .visible = true, .named = false, @@ -2348,40 +2565,41 @@ static const char * const ts_field_names[] = { static const TSFieldMapSlice ts_field_map_slices[PRODUCTION_ID_COUNT] = { [8] = {.index = 0, .length = 2}, - [10] = {.index = 2, .length = 1}, + [9] = {.index = 2, .length = 1}, [11] = {.index = 3, .length = 1}, [12] = {.index = 4, .length = 1}, [13] = {.index = 5, .length = 1}, [14] = {.index = 6, .length = 3}, [18] = {.index = 9, .length = 1}, [19] = {.index = 10, .length = 1}, - [21] = {.index = 11, .length = 2}, - [23] = {.index = 13, .length = 2}, - [27] = {.index = 15, .length = 1}, - [31] = {.index = 16, .length = 2}, - [34] = {.index = 18, .length = 3}, - [35] = {.index = 21, .length = 1}, - [38] = {.index = 22, .length = 1}, - [39] = {.index = 23, .length = 2}, - [40] = {.index = 25, .length = 2}, - [42] = {.index = 27, .length = 1}, - [44] = {.index = 28, .length = 1}, - [45] = {.index = 29, .length = 2}, - [46] = {.index = 31, .length = 1}, - [47] = {.index = 32, .length = 2}, - [49] = {.index = 15, .length = 1}, - [52] = {.index = 34, .length = 1}, - [54] = {.index = 35, .length = 1}, - [56] = {.index = 15, .length = 1}, + [20] = {.index = 11, .length = 2}, + [21] = {.index = 13, .length = 1}, + [23] = {.index = 14, .length = 2}, + [28] = {.index = 16, .length = 1}, + [32] = {.index = 17, .length = 2}, + [33] = {.index = 19, .length = 3}, + [34] = {.index = 22, .length = 1}, + [38] = {.index = 23, .length = 1}, + [39] = {.index = 24, .length = 2}, + [40] = {.index = 26, .length = 2}, + [42] = {.index = 28, .length = 1}, + [44] = {.index = 29, .length = 1}, + [45] = {.index = 30, .length = 2}, + [46] = {.index = 32, .length = 1}, + [47] = {.index = 33, .length = 2}, + [49] = {.index = 16, .length = 1}, + [52] = {.index = 35, .length = 1}, + [54] = {.index = 36, .length = 1}, + [56] = {.index = 16, .length = 1}, [58] = {.index = 4, .length = 1}, [63] = {.index = 4, .length = 1}, - [64] = {.index = 31, .length = 1}, - [66] = {.index = 36, .length = 1}, - [67] = {.index = 36, .length = 1}, - [69] = {.index = 31, .length = 1}, - [70] = {.index = 35, .length = 1}, - [73] = {.index = 37, .length = 1}, - [74] = {.index = 35, .length = 1}, + [64] = {.index = 32, .length = 1}, + [67] = {.index = 37, .length = 1}, + [68] = {.index = 37, .length = 1}, + [70] = {.index = 32, .length = 1}, + [71] = {.index = 36, .length = 1}, + [75] = {.index = 38, .length = 1}, + [76] = {.index = 36, .length = 1}, }; static const TSFieldMapEntry ts_field_map_entries[] = { @@ -2408,56 +2626,58 @@ static const TSFieldMapEntry ts_field_map_entries[] = { {field_arguments, 2}, {field_function, 0}, [13] = + {field_order, 3}, + [14] = {field_name, 0}, {field_type, 1}, - [15] = - {field_argmode, 0}, [16] = + {field_argmode, 0}, + [17] = {field_name, 2}, {field_table_name, 4}, - [18] = + [19] = {field_arguments, 2}, {field_arguments, 3}, {field_function, 0}, - [21] = - {field_elements, 1}, [22] = - {field_name, 2, .inherited = true}, + {field_elements, 1}, [23] = + {field_name, 2, .inherited = true}, + [24] = {field_name, 0, .inherited = true}, {field_name, 1, .inherited = true}, - [25] = + [26] = {field_name, 1, .inherited = true}, {field_name, 2, .inherited = true}, - [27] = - {field_replace, 1}, [28] = - {field_method, 1}, + {field_replace, 1}, [29] = + {field_method, 1}, + [30] = {field_name, 3}, {field_table_name, 5}, - [31] = - {field_type, 3}, [32] = + {field_type, 3}, + [33] = {field_elements, 1}, {field_elements, 2}, - [34] = - {field_order, 1}, [35] = - {field_type, 4}, + {field_order, 1}, [36] = - {field_action, 2}, + {field_type, 4}, [37] = + {field_action, 2}, + [38] = {field_storage_parameter, 2}, }; static const TSSymbol ts_alias_sequences[PRODUCTION_ID_COUNT][MAX_ALIAS_SEQUENCE_LENGTH] = { [0] = {0}, [1] = { - [1] = alias_sym_alter_sequence, + [0] = anon_alias_sym_WITH, }, [2] = { - [0] = anon_alias_sym_DELETE, + [1] = alias_sym_alter_sequence, }, [3] = { [0] = anon_alias_sym_NULL, @@ -2475,60 +2695,59 @@ static const TSSymbol ts_alias_sequences[PRODUCTION_ID_COUNT][MAX_ALIAS_SEQUENCE [7] = { [0] = aux_sym_create_domain_statement_token1, }, - [9] = { + [10] = { [0] = aux_sym_create_role_statement_token1, [3] = anon_alias_sym_WITH, }, [15] = { - [0] = aux_sym_sequence_token7, + [0] = anon_alias_sym_GROUP_BY, + [1] = anon_alias_sym_GROUP_BY, }, [16] = { - [1] = aux_sym_order_by_clause_token1, + [1] = anon_alias_sym_IF, + [2] = anon_alias_sym_EXISTS, }, [17] = { [0] = aux_sym_create_type_statement_token1, }, - [20] = { - [2] = anon_alias_sym_IF_EXISTS, - [3] = anon_alias_sym_IF_EXISTS, - }, [22] = { [0] = anon_alias_sym_DEFERRABLE, }, [24] = { - [1] = anon_alias_sym_WITH, + [1] = anon_alias_sym_IF, + [3] = anon_alias_sym_EXISTS, }, [25] = { - [1] = anon_alias_sym_BY, + [1] = anon_alias_sym_WITH, }, [26] = { - [1] = aux_sym_sequence_token12, + [1] = anon_alias_sym_BY, }, - [28] = { - [0] = aux_sym_create_function_statement_token1, + [27] = { + [1] = aux_sym_sequence_token9, }, [29] = { + [0] = aux_sym_create_function_statement_token1, + }, + [30] = { [0] = aux_sym_create_extension_statement_token1, [2] = anon_alias_sym_IF_NOT_EXISTS, [3] = anon_alias_sym_IF_NOT_EXISTS, [4] = anon_alias_sym_IF_NOT_EXISTS, }, - [30] = { + [31] = { [0] = anon_alias_sym_CREATE_SCHEMA, [1] = anon_alias_sym_CREATE_SCHEMA, [2] = anon_alias_sym_IF_NOT_EXISTS, [3] = anon_alias_sym_IF_NOT_EXISTS, [4] = anon_alias_sym_IF_NOT_EXISTS, }, - [32] = { - [1] = anon_alias_sym_IF_EXISTS, - [2] = anon_alias_sym_IF_EXISTS, - }, - [33] = { - [1] = anon_alias_sym_COLUMN, + [35] = { + [1] = aux_sym_distinct_from_token1, }, [36] = { - [1] = aux_sym_distinct_from_token1, + [2] = aux_sym_at_time_zone_expression_token1, + [3] = aux_sym_at_time_zone_expression_token1, }, [37] = { [2] = anon_alias_sym_IF_NOT_EXISTS, @@ -2559,32 +2778,33 @@ static const TSSymbol ts_alias_sequences[PRODUCTION_ID_COUNT][MAX_ALIAS_SEQUENCE [1] = alias_sym_language, }, [53] = { - [6] = aux_sym_sequence_token5, - [7] = aux_sym_sequence_token5, + [6] = aux_sym_with_clause_token1, + [7] = aux_sym_with_clause_token1, }, [55] = { - [0] = anon_alias_sym_WITH, + [2] = anon_alias_sym_WITH, }, [56] = { [4] = alias_sym_default, }, [57] = { - [0] = aux_sym_alter_table_action_alter_column_token1, + [0] = anon_alias_sym_ALTER_COLUMN, + [1] = anon_alias_sym_ALTER_COLUMN, [3] = anon_alias_sym_SET_DEFAULT, [4] = anon_alias_sym_SET_DEFAULT, }, [58] = { - [6] = aux_sym_sequence_token5, - [7] = aux_sym_sequence_token5, - [8] = aux_sym_sequence_token5, + [6] = aux_sym_with_clause_token1, + [7] = aux_sym_with_clause_token1, + [8] = aux_sym_with_clause_token1, }, [59] = { - [6] = aux_sym_sequence_token5, - [7] = aux_sym_sequence_token5, - [8] = aux_sym_sequence_token5, + [6] = aux_sym_with_clause_token1, + [7] = aux_sym_with_clause_token1, + [8] = aux_sym_with_clause_token1, }, [60] = { - [2] = anon_alias_sym_WITH, + [3] = anon_alias_sym_WITH, }, [61] = { [1] = aux_sym_table_constraint_foreign_key_token1, @@ -2594,57 +2814,67 @@ static const TSSymbol ts_alias_sequences[PRODUCTION_ID_COUNT][MAX_ALIAS_SEQUENCE [2] = aux_sym_null_hint_token1, }, [63] = { - [7] = aux_sym_sequence_token5, - [8] = aux_sym_sequence_token5, - [9] = aux_sym_sequence_token5, + [7] = aux_sym_with_clause_token1, + [8] = aux_sym_with_clause_token1, + [9] = aux_sym_with_clause_token1, }, [64] = { - [7] = aux_sym_sequence_token5, - [8] = aux_sym_sequence_token5, - [9] = aux_sym_sequence_token5, + [7] = aux_sym_with_clause_token1, + [8] = aux_sym_with_clause_token1, + [9] = aux_sym_with_clause_token1, }, [65] = { - [7] = aux_sym_sequence_token5, - [8] = aux_sym_sequence_token5, - [9] = aux_sym_sequence_token5, + [7] = aux_sym_with_clause_token1, + [8] = aux_sym_with_clause_token1, + [9] = aux_sym_with_clause_token1, }, [66] = { - [0] = anon_alias_sym_ON_UPDATE, - [1] = anon_alias_sym_ON_UPDATE, + [4] = anon_alias_sym_WITH, }, [67] = { - [0] = aux_sym_grant_statement_token7, + [0] = anon_alias_sym_ON_UPDATE, + [1] = anon_alias_sym_ON_UPDATE, }, [68] = { + [0] = anon_alias_sym_ON_DELETE, + [1] = anon_alias_sym_ON_DELETE, + }, + [69] = { [0] = aux_sym_null_hint_token3, [2] = aux_sym_null_hint_token3, [4] = aux_sym_null_hint_token3, }, - [69] = { - [8] = aux_sym_sequence_token5, - [9] = aux_sym_sequence_token5, - [10] = aux_sym_sequence_token5, - }, [70] = { - [8] = aux_sym_sequence_token5, - [9] = aux_sym_sequence_token5, - [10] = aux_sym_sequence_token5, + [8] = aux_sym_with_clause_token1, + [9] = aux_sym_with_clause_token1, + [10] = aux_sym_with_clause_token1, }, [71] = { - [8] = aux_sym_sequence_token5, - [9] = aux_sym_sequence_token5, - [10] = aux_sym_sequence_token5, + [8] = aux_sym_with_clause_token1, + [9] = aux_sym_with_clause_token1, + [10] = aux_sym_with_clause_token1, }, [72] = { + [8] = aux_sym_with_clause_token1, + [9] = aux_sym_with_clause_token1, + [10] = aux_sym_with_clause_token1, + }, + [73] = { + [5] = anon_alias_sym_WITH, + }, + [74] = { [0] = anon_alias_sym_SET_NULL, [1] = anon_alias_sym_SET_NULL, }, - [73] = { + [75] = { [0] = anon_alias_sym_WITH, }, - [74] = { - [9] = aux_sym_sequence_token5, - [10] = aux_sym_sequence_token5, + [76] = { + [9] = aux_sym_with_clause_token1, + [10] = aux_sym_with_clause_token1, + }, + [77] = { + [6] = anon_alias_sym_WITH, }, }; @@ -2666,79 +2896,79 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { eof = lexer->eof(lexer); switch (state) { case 0: - if (eof) ADVANCE(728); - if (lookahead == '!') ADVANCE(80); - if (lookahead == '"') ADVANCE(1158); - if (lookahead == '#') ADVANCE(1215); - if (lookahead == '$') ADVANCE(1228); - if (lookahead == '%') ADVANCE(1209); - if (lookahead == '&') ADVANCE(1213); - if (lookahead == '\'') ADVANCE(1170); - if (lookahead == '(') ADVANCE(818); - if (lookahead == ')') ADVANCE(820); - if (lookahead == '*') ADVANCE(1207); - if (lookahead == '+') ADVANCE(1197); - if (lookahead == ',') ADVANCE(819); - if (lookahead == '-') ADVANCE(1199); - if (lookahead == '.') ADVANCE(1169); - if (lookahead == '/') ADVANCE(1208); - if (lookahead == ':') ADVANCE(128); - if (lookahead == ';') ADVANCE(729); - if (lookahead == '<') ADVANCE(1216); - if (lookahead == '=') ADVANCE(817); - if (lookahead == '>') ADVANCE(1219); - if (lookahead == '@') ADVANCE(1203); - if (lookahead == 'C') ADVANCE(164); - if (lookahead == 'E') ADVANCE(185); - if (lookahead == 'T') ADVANCE(130); - if (lookahead == 'V') ADVANCE(147); - if (lookahead == '[') ADVANCE(1191); + if (eof) ADVANCE(868); + if (lookahead == '!') ADVANCE(116); + if (lookahead == '"') ADVANCE(1373); + if (lookahead == '#') ADVANCE(1436); + if (lookahead == '$') ADVANCE(1449); + if (lookahead == '%') ADVANCE(1430); + if (lookahead == '&') ADVANCE(1434); + if (lookahead == '\'') ADVANCE(1385); + if (lookahead == '(') ADVANCE(890); + if (lookahead == ')') ADVANCE(891); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(1420); + if (lookahead == '.') ADVANCE(1384); + if (lookahead == '/') ADVANCE(1429); + if (lookahead == ':') ADVANCE(182); + if (lookahead == ';') ADVANCE(869); + if (lookahead == '<') ADVANCE(1437); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '>') ADVANCE(1440); + if (lookahead == '@') ADVANCE(1424); + if (lookahead == 'C') ADVANCE(217); + if (lookahead == 'E') ADVANCE(238); + if (lookahead == 'T') ADVANCE(183); + if (lookahead == 'V') ADVANCE(199); + if (lookahead == '[') ADVANCE(1412); if (lookahead == '\\') ADVANCE(2); - if (lookahead == ']') ADVANCE(1192); - if (lookahead == '^') ADVANCE(1206); - if (lookahead == '`') ADVANCE(1148); - if (lookahead == 'c') ADVANCE(191); - if (lookahead == 'e') ADVANCE(703); - if (lookahead == 't') ADVANCE(192); - if (lookahead == 'v') ADVANCE(195); - if (lookahead == '|') ADVANCE(1214); - if (lookahead == '~') ADVANCE(1202); + if (lookahead == ']') ADVANCE(1413); + if (lookahead == '^') ADVANCE(1427); + if (lookahead == '`') ADVANCE(1363); + if (lookahead == 'c') ADVANCE(244); + if (lookahead == 'e') ADVANCE(558); + if (lookahead == 't') ADVANCE(245); + if (lookahead == 'v') ADVANCE(248); + if (lookahead == '|') ADVANCE(1435); + if (lookahead == '~') ADVANCE(1423); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(290); + lookahead == 'a') ADVANCE(366); if (lookahead == 'B' || - lookahead == 'b') ADVANCE(306); + lookahead == 'b') ADVANCE(376); if (lookahead == 'D' || - lookahead == 'd') ADVANCE(206); + lookahead == 'd') ADVANCE(257); if (lookahead == 'F' || - lookahead == 'f') ADVANCE(205); + lookahead == 'f') ADVANCE(255); if (lookahead == 'G' || - lookahead == 'g') ADVANCE(596); + lookahead == 'g') ADVANCE(713); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(390); + lookahead == 'i') ADVANCE(473); if (lookahead == 'J' || - lookahead == 'j') ADVANCE(562); + lookahead == 'j') ADVANCE(677); if (lookahead == 'K' || - lookahead == 'k') ADVANCE(307); + lookahead == 'k') ADVANCE(377); if (lookahead == 'L' || - lookahead == 'l') ADVANCE(198); + lookahead == 'l') ADVANCE(249); if (lookahead == 'M' || - lookahead == 'm') ADVANCE(202); + lookahead == 'm') ADVANCE(250); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(563); + lookahead == 'n') ADVANCE(679); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(516); + lookahead == 'o') ADVANCE(475); if (lookahead == 'P' || - lookahead == 'p') ADVANCE(211); + lookahead == 'p') ADVANCE(259); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(309); + lookahead == 'r') ADVANCE(379); if (lookahead == 'S' || - lookahead == 's') ADVANCE(207); + lookahead == 's') ADVANCE(262); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(521); + lookahead == 'u') ADVANCE(629); if (lookahead == 'W' || - lookahead == 'w') ADVANCE(417); + lookahead == 'w') ADVANCE(507); if (lookahead == 'Z' || - lookahead == 'z') ADVANCE(579); + lookahead == 'z') ADVANCE(696); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -2747,382 +2977,526 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == 8203 || lookahead == 8288 || lookahead == 65279) SKIP(0) - if (('0' <= lookahead && lookahead <= '9')) ADVANCE(926); + if (('0' <= lookahead && lookahead <= '9')) ADVANCE(1087); END_STATE(); case 1: - if (lookahead == '\n') SKIP(78) + if (lookahead == '\n') SKIP(114) END_STATE(); case 2: - if (lookahead == '\n') SKIP(78) + if (lookahead == '\n') SKIP(114) if (lookahead == '\r') SKIP(1) if (('A' <= lookahead && lookahead <= 'Z') || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(774); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(927); END_STATE(); case 3: - if (lookahead == '\n') SKIP(83) + if (lookahead == '\n') SKIP(135) END_STATE(); case 4: - if (lookahead == '\n') SKIP(83) + if (lookahead == '\n') SKIP(135) if (lookahead == '\r') SKIP(3) if (('A' <= lookahead && lookahead <= 'Z') || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(774); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(927); END_STATE(); case 5: - if (lookahead == '\n') SKIP(92) + if (lookahead == '\n') SKIP(148) END_STATE(); case 6: - if (lookahead == '\n') SKIP(92) + if (lookahead == '\n') SKIP(148) if (lookahead == '\r') SKIP(5) if (('A' <= lookahead && lookahead <= 'Z') || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(774); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(927); END_STATE(); case 7: - if (lookahead == '\n') SKIP(93) + if (lookahead == '\n') SKIP(142) END_STATE(); case 8: - if (lookahead == '\n') SKIP(93) + if (lookahead == '\n') SKIP(142) if (lookahead == '\r') SKIP(7) if (('A' <= lookahead && lookahead <= 'Z') || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(774); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(927); END_STATE(); case 9: - if (lookahead == '\n') SKIP(98) + if (lookahead == '\n') SKIP(128) END_STATE(); case 10: - if (lookahead == '\n') SKIP(98) + if (lookahead == '\n') SKIP(128) if (lookahead == '\r') SKIP(9) if (('A' <= lookahead && lookahead <= 'Z') || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(774); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(927); END_STATE(); case 11: - if (lookahead == '\n') SKIP(86) + if (lookahead == '\n') SKIP(117) END_STATE(); case 12: - if (lookahead == '\n') SKIP(86) + if (lookahead == '\n') SKIP(117) if (lookahead == '\r') SKIP(11) if (('A' <= lookahead && lookahead <= 'Z') || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(774); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(927); END_STATE(); case 13: - if (lookahead == '\n') SKIP(118) + if (lookahead == '\n') SKIP(139) END_STATE(); case 14: - if (lookahead == '\n') SKIP(118) + if (lookahead == '\n') SKIP(139) if (lookahead == '\r') SKIP(13) if (('A' <= lookahead && lookahead <= 'Z') || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(774); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(927); END_STATE(); case 15: - if (lookahead == '\n') SKIP(90) + if (lookahead == '\n') SKIP(133) END_STATE(); case 16: - if (lookahead == '\n') SKIP(90) + if (lookahead == '\n') SKIP(133) if (lookahead == '\r') SKIP(15) if (('A' <= lookahead && lookahead <= 'Z') || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(774); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(927); END_STATE(); case 17: - if (lookahead == '\n') SKIP(81) + if (lookahead == '\n') SKIP(126) END_STATE(); case 18: - if (lookahead == '\n') SKIP(81) + if (lookahead == '\n') SKIP(126) if (lookahead == '\r') SKIP(17) + if (('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(927); END_STATE(); case 19: - if (lookahead == '\n') SKIP(99) + if (lookahead == '\n') SKIP(141) END_STATE(); case 20: - if (lookahead == '\n') SKIP(99) + if (lookahead == '\n') SKIP(141) if (lookahead == '\r') SKIP(19) if (('A' <= lookahead && lookahead <= 'Z') || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(774); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(927); END_STATE(); case 21: - if (lookahead == '\n') SKIP(91) + if (lookahead == '\n') SKIP(145) END_STATE(); case 22: - if (lookahead == '\n') SKIP(91) + if (lookahead == '\n') SKIP(145) if (lookahead == '\r') SKIP(21) if (('A' <= lookahead && lookahead <= 'Z') || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(774); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(927); END_STATE(); case 23: - if (lookahead == '\n') SKIP(94) + if (lookahead == '\n') SKIP(170) END_STATE(); case 24: - if (lookahead == '\n') SKIP(94) + if (lookahead == '\n') SKIP(170) if (lookahead == '\r') SKIP(23) + if (('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(927); END_STATE(); case 25: - if (lookahead == '\n') SKIP(84) + if (lookahead == '\n') SKIP(143) END_STATE(); case 26: - if (lookahead == '\n') SKIP(84) + if (lookahead == '\n') SKIP(143) if (lookahead == '\r') SKIP(25) + if (('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(927); END_STATE(); case 27: - if (lookahead == '\n') SKIP(87) + if (lookahead == '\n') SKIP(146) END_STATE(); case 28: - if (lookahead == '\n') SKIP(87) + if (lookahead == '\n') SKIP(146) if (lookahead == '\r') SKIP(27) END_STATE(); case 29: - if (lookahead == '\n') SKIP(82) + if (lookahead == '\n') SKIP(136) END_STATE(); case 30: - if (lookahead == '\n') SKIP(82) + if (lookahead == '\n') SKIP(136) if (lookahead == '\r') SKIP(29) END_STATE(); case 31: - if (lookahead == '\n') SKIP(85) + if (lookahead == '\n') SKIP(130) END_STATE(); case 32: - if (lookahead == '\n') SKIP(85) + if (lookahead == '\n') SKIP(130) if (lookahead == '\r') SKIP(31) + if (('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(927); END_STATE(); case 33: - if (lookahead == '\n') SKIP(97) + if (lookahead == '\n') SKIP(127) END_STATE(); case 34: - if (lookahead == '\n') SKIP(97) + if (lookahead == '\n') SKIP(127) if (lookahead == '\r') SKIP(33) + if (('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(927); END_STATE(); case 35: - if (lookahead == '\n') SKIP(89) + if (lookahead == '\n') SKIP(144) END_STATE(); case 36: - if (lookahead == '\n') SKIP(89) + if (lookahead == '\n') SKIP(144) if (lookahead == '\r') SKIP(35) + if (('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(927); END_STATE(); case 37: - if (lookahead == '\n') SKIP(95) + if (lookahead == '\n') SKIP(149) END_STATE(); case 38: - if (lookahead == '\n') SKIP(95) + if (lookahead == '\n') SKIP(149) if (lookahead == '\r') SKIP(37) END_STATE(); case 39: - if (lookahead == '\n') SKIP(96) + if (lookahead == '\n') SKIP(122) END_STATE(); case 40: - if (lookahead == '\n') SKIP(96) + if (lookahead == '\n') SKIP(122) if (lookahead == '\r') SKIP(39) END_STATE(); case 41: - if (lookahead == '\n') SKIP(119) + if (lookahead == '\n') SKIP(134) END_STATE(); case 42: - if (lookahead == '\n') SKIP(119) + if (lookahead == '\n') SKIP(134) if (lookahead == '\r') SKIP(41) if (('A' <= lookahead && lookahead <= 'Z') || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(774); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(927); END_STATE(); case 43: - if (lookahead == '\n') SKIP(120) + if (lookahead == '\n') SKIP(118) END_STATE(); case 44: - if (lookahead == '\n') SKIP(120) + if (lookahead == '\n') SKIP(118) if (lookahead == '\r') SKIP(43) - if (('A' <= lookahead && lookahead <= 'Z') || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(774); END_STATE(); case 45: - if (lookahead == '\n') SKIP(103) + if (lookahead == '\n') SKIP(147) END_STATE(); case 46: - if (lookahead == '\n') SKIP(103) + if (lookahead == '\n') SKIP(147) if (lookahead == '\r') SKIP(45) - if (('A' <= lookahead && lookahead <= 'Z') || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(774); END_STATE(); case 47: - if (lookahead == '\n') SKIP(125) + if (lookahead == '\n') SKIP(140) END_STATE(); case 48: - if (lookahead == '\n') SKIP(125) + if (lookahead == '\n') SKIP(140) if (lookahead == '\r') SKIP(47) - if (('A' <= lookahead && lookahead <= 'Z') || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(774); END_STATE(); case 49: - if (lookahead == '\n') SKIP(104) + if (lookahead == '\n') SKIP(150) END_STATE(); case 50: - if (lookahead == '\n') SKIP(104) + if (lookahead == '\n') SKIP(150) if (lookahead == '\r') SKIP(49) - if (('A' <= lookahead && lookahead <= 'Z') || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(774); END_STATE(); case 51: - if (lookahead == '\n') SKIP(101) + if (lookahead == '\n') SKIP(124) END_STATE(); case 52: - if (lookahead == '\n') SKIP(101) + if (lookahead == '\n') SKIP(124) if (lookahead == '\r') SKIP(51) END_STATE(); case 53: - if (lookahead == '\n') SKIP(105) + if (lookahead == '\n') SKIP(125) END_STATE(); case 54: - if (lookahead == '\n') SKIP(105) + if (lookahead == '\n') SKIP(125) if (lookahead == '\r') SKIP(53) END_STATE(); case 55: - if (lookahead == '\n') SKIP(106) + if (lookahead == '\n') SKIP(137) END_STATE(); case 56: - if (lookahead == '\n') SKIP(106) + if (lookahead == '\n') SKIP(137) if (lookahead == '\r') SKIP(55) END_STATE(); case 57: - if (lookahead == '\n') SKIP(107) + if (lookahead == '\n') SKIP(138) END_STATE(); case 58: - if (lookahead == '\n') SKIP(107) + if (lookahead == '\n') SKIP(138) if (lookahead == '\r') SKIP(57) END_STATE(); case 59: - if (lookahead == '\n') SKIP(100) + if (lookahead == '\n') SKIP(120) END_STATE(); case 60: - if (lookahead == '\n') SKIP(100) + if (lookahead == '\n') SKIP(120) if (lookahead == '\r') SKIP(59) END_STATE(); case 61: - if (lookahead == '\n') SKIP(108) + if (lookahead == '\n') SKIP(132) END_STATE(); case 62: - if (lookahead == '\n') SKIP(108) + if (lookahead == '\n') SKIP(132) if (lookahead == '\r') SKIP(61) END_STATE(); case 63: - if (lookahead == '\n') SKIP(102) + if (lookahead == '\n') SKIP(121) END_STATE(); case 64: - if (lookahead == '\n') SKIP(102) + if (lookahead == '\n') SKIP(121) if (lookahead == '\r') SKIP(63) END_STATE(); case 65: - if (lookahead == '\n') SKIP(109) + if (lookahead == '\n') SKIP(171) END_STATE(); case 66: - if (lookahead == '\n') SKIP(109) + if (lookahead == '\n') SKIP(171) if (lookahead == '\r') SKIP(65) + if (('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(927); END_STATE(); case 67: - if (lookahead == '\n') SKIP(110) + if (lookahead == '\n') SKIP(131) END_STATE(); case 68: - if (lookahead == '\n') SKIP(110) + if (lookahead == '\n') SKIP(131) if (lookahead == '\r') SKIP(67) END_STATE(); case 69: - if (lookahead == '\n') SKIP(111) + if (lookahead == '\n') SKIP(172) END_STATE(); case 70: - if (lookahead == '\n') SKIP(111) + if (lookahead == '\n') SKIP(172) if (lookahead == '\r') SKIP(69) + if (('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(927); END_STATE(); case 71: - if (lookahead == '\n') SKIP(112) + if (lookahead == '\n') SKIP(173) END_STATE(); case 72: - if (lookahead == '\n') SKIP(112) + if (lookahead == '\n') SKIP(173) if (lookahead == '\r') SKIP(71) + if (('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(927); END_STATE(); case 73: - if (lookahead == '\n') SKIP(113) + if (lookahead == '\n') SKIP(174) END_STATE(); case 74: - if (lookahead == '\n') SKIP(113) + if (lookahead == '\n') SKIP(174) if (lookahead == '\r') SKIP(73) + if (('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(927); END_STATE(); case 75: - if (lookahead == '\n') SKIP(88) + if (lookahead == '\n') SKIP(152) END_STATE(); case 76: - if (lookahead == '\n') SKIP(88) + if (lookahead == '\n') SKIP(152) if (lookahead == '\r') SKIP(75) END_STATE(); case 77: - if (lookahead == ' ') ADVANCE(612); - if (lookahead == 'D' || - lookahead == 'd') ADVANCE(368); + if (lookahead == '\n') SKIP(154) END_STATE(); case 78: - if (lookahead == '!') ADVANCE(80); - if (lookahead == '"') ADVANCE(1158); - if (lookahead == '#') ADVANCE(1215); - if (lookahead == '$') ADVANCE(1228); - if (lookahead == '%') ADVANCE(1209); - if (lookahead == '&') ADVANCE(1213); - if (lookahead == '\'') ADVANCE(1170); - if (lookahead == '(') ADVANCE(818); - if (lookahead == ')') ADVANCE(820); - if (lookahead == '*') ADVANCE(1207); - if (lookahead == '+') ADVANCE(1197); - if (lookahead == ',') ADVANCE(819); - if (lookahead == '-') ADVANCE(1199); - if (lookahead == '.') ADVANCE(1169); - if (lookahead == '/') ADVANCE(1208); - if (lookahead == ':') ADVANCE(128); - if (lookahead == ';') ADVANCE(729); - if (lookahead == '<') ADVANCE(1216); - if (lookahead == '=') ADVANCE(817); - if (lookahead == '>') ADVANCE(1219); - if (lookahead == '@') ADVANCE(1203); - if (lookahead == 'C') ADVANCE(164); - if (lookahead == 'E') ADVANCE(185); - if (lookahead == 'T') ADVANCE(130); - if (lookahead == 'V') ADVANCE(147); - if (lookahead == '[') ADVANCE(1191); + if (lookahead == '\n') SKIP(154) + if (lookahead == '\r') SKIP(77) + if (('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(927); + END_STATE(); + case 79: + if (lookahead == '\n') SKIP(179) + END_STATE(); + case 80: + if (lookahead == '\n') SKIP(179) + if (lookahead == '\r') SKIP(79) + if (('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(927); + END_STATE(); + case 81: + if (lookahead == '\n') SKIP(155) + END_STATE(); + case 82: + if (lookahead == '\n') SKIP(155) + if (lookahead == '\r') SKIP(81) + END_STATE(); + case 83: + if (lookahead == '\n') SKIP(156) + END_STATE(); + case 84: + if (lookahead == '\n') SKIP(156) + if (lookahead == '\r') SKIP(83) + END_STATE(); + case 85: + if (lookahead == '\n') SKIP(151) + END_STATE(); + case 86: + if (lookahead == '\n') SKIP(151) + if (lookahead == '\r') SKIP(85) + END_STATE(); + case 87: + if (lookahead == '\n') SKIP(157) + END_STATE(); + case 88: + if (lookahead == '\n') SKIP(157) + if (lookahead == '\r') SKIP(87) + END_STATE(); + case 89: + if (lookahead == '\n') SKIP(158) + END_STATE(); + case 90: + if (lookahead == '\n') SKIP(158) + if (lookahead == '\r') SKIP(89) + END_STATE(); + case 91: + if (lookahead == '\n') SKIP(153) + END_STATE(); + case 92: + if (lookahead == '\n') SKIP(153) + if (lookahead == '\r') SKIP(91) + END_STATE(); + case 93: + if (lookahead == '\n') SKIP(159) + END_STATE(); + case 94: + if (lookahead == '\n') SKIP(159) + if (lookahead == '\r') SKIP(93) + END_STATE(); + case 95: + if (lookahead == '\n') SKIP(160) + END_STATE(); + case 96: + if (lookahead == '\n') SKIP(160) + if (lookahead == '\r') SKIP(95) + END_STATE(); + case 97: + if (lookahead == '\n') SKIP(161) + END_STATE(); + case 98: + if (lookahead == '\n') SKIP(161) + if (lookahead == '\r') SKIP(97) + END_STATE(); + case 99: + if (lookahead == '\n') SKIP(162) + END_STATE(); + case 100: + if (lookahead == '\n') SKIP(162) + if (lookahead == '\r') SKIP(99) + END_STATE(); + case 101: + if (lookahead == '\n') SKIP(163) + END_STATE(); + case 102: + if (lookahead == '\n') SKIP(163) + if (lookahead == '\r') SKIP(101) + END_STATE(); + case 103: + if (lookahead == '\n') SKIP(164) + END_STATE(); + case 104: + if (lookahead == '\n') SKIP(164) + if (lookahead == '\r') SKIP(103) + END_STATE(); + case 105: + if (lookahead == '\n') SKIP(165) + END_STATE(); + case 106: + if (lookahead == '\n') SKIP(165) + if (lookahead == '\r') SKIP(105) + END_STATE(); + case 107: + if (lookahead == '\n') SKIP(129) + END_STATE(); + case 108: + if (lookahead == '\n') SKIP(129) + if (lookahead == '\r') SKIP(107) + if (('A' <= lookahead && lookahead <= 'Z') || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(927); + END_STATE(); + case 109: + if (lookahead == '\n') SKIP(123) + END_STATE(); + case 110: + if (lookahead == '\n') SKIP(123) + if (lookahead == '\r') SKIP(109) + END_STATE(); + case 111: + if (lookahead == '\n') SKIP(119) + END_STATE(); + case 112: + if (lookahead == '\n') SKIP(119) + if (lookahead == '\r') SKIP(111) + END_STATE(); + case 113: + if (lookahead == ' ') ADVANCE(732); + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(447); + END_STATE(); + case 114: + if (lookahead == '!') ADVANCE(116); + if (lookahead == '"') ADVANCE(1373); + if (lookahead == '#') ADVANCE(1436); + if (lookahead == '$') ADVANCE(1449); + if (lookahead == '%') ADVANCE(1430); + if (lookahead == '&') ADVANCE(1434); + if (lookahead == '\'') ADVANCE(1385); + if (lookahead == '(') ADVANCE(890); + if (lookahead == ')') ADVANCE(891); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(1420); + if (lookahead == '.') ADVANCE(1384); + if (lookahead == '/') ADVANCE(1429); + if (lookahead == ':') ADVANCE(182); + if (lookahead == ';') ADVANCE(869); + if (lookahead == '<') ADVANCE(1437); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '>') ADVANCE(1440); + if (lookahead == '@') ADVANCE(1424); + if (lookahead == 'C') ADVANCE(217); + if (lookahead == 'E') ADVANCE(238); + if (lookahead == 'T') ADVANCE(183); + if (lookahead == 'V') ADVANCE(199); + if (lookahead == '[') ADVANCE(1412); if (lookahead == '\\') ADVANCE(2); - if (lookahead == ']') ADVANCE(1192); - if (lookahead == '^') ADVANCE(1206); - if (lookahead == '`') ADVANCE(1148); - if (lookahead == 'c') ADVANCE(191); - if (lookahead == 'e') ADVANCE(703); - if (lookahead == 't') ADVANCE(192); - if (lookahead == 'v') ADVANCE(195); - if (lookahead == '|') ADVANCE(1214); - if (lookahead == '~') ADVANCE(1202); + if (lookahead == ']') ADVANCE(1413); + if (lookahead == '^') ADVANCE(1427); + if (lookahead == '`') ADVANCE(1363); + if (lookahead == 'c') ADVANCE(244); + if (lookahead == 'e') ADVANCE(558); + if (lookahead == 't') ADVANCE(245); + if (lookahead == 'v') ADVANCE(248); + if (lookahead == '|') ADVANCE(1435); + if (lookahead == '~') ADVANCE(1423); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(290); + lookahead == 'a') ADVANCE(366); if (lookahead == 'B' || - lookahead == 'b') ADVANCE(306); + lookahead == 'b') ADVANCE(376); if (lookahead == 'D' || - lookahead == 'd') ADVANCE(206); + lookahead == 'd') ADVANCE(257); if (lookahead == 'F' || - lookahead == 'f') ADVANCE(205); + lookahead == 'f') ADVANCE(255); if (lookahead == 'G' || - lookahead == 'g') ADVANCE(596); + lookahead == 'g') ADVANCE(713); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(390); + lookahead == 'i') ADVANCE(473); if (lookahead == 'J' || - lookahead == 'j') ADVANCE(562); + lookahead == 'j') ADVANCE(677); if (lookahead == 'K' || - lookahead == 'k') ADVANCE(307); + lookahead == 'k') ADVANCE(377); if (lookahead == 'L' || - lookahead == 'l') ADVANCE(198); + lookahead == 'l') ADVANCE(249); if (lookahead == 'M' || - lookahead == 'm') ADVANCE(202); + lookahead == 'm') ADVANCE(250); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(563); + lookahead == 'n') ADVANCE(679); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(516); + lookahead == 'o') ADVANCE(475); if (lookahead == 'P' || - lookahead == 'p') ADVANCE(211); + lookahead == 'p') ADVANCE(259); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(309); + lookahead == 'r') ADVANCE(379); if (lookahead == 'S' || - lookahead == 's') ADVANCE(207); + lookahead == 's') ADVANCE(262); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(521); + lookahead == 'u') ADVANCE(629); if (lookahead == 'W' || - lookahead == 'w') ADVANCE(417); + lookahead == 'w') ADVANCE(507); if (lookahead == 'Z' || - lookahead == 'z') ADVANCE(579); + lookahead == 'z') ADVANCE(696); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -3130,52 +3504,72 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(78) - if (('0' <= lookahead && lookahead <= '9')) ADVANCE(926); + lookahead == 65279) SKIP(114) + if (('0' <= lookahead && lookahead <= '9')) ADVANCE(1087); END_STATE(); - case 79: - if (lookahead == '!') ADVANCE(1200); + case 115: + if (lookahead == '!') ADVANCE(1421); END_STATE(); - case 80: - if (lookahead == '!') ADVANCE(1200); - if (lookahead == '~') ADVANCE(1221); + case 116: + if (lookahead == '!') ADVANCE(1421); + if (lookahead == '~') ADVANCE(1442); END_STATE(); - case 81: - if (lookahead == '!') ADVANCE(79); - if (lookahead == '"') ADVANCE(1158); - if (lookahead == '$') ADVANCE(1228); - if (lookahead == '\'') ADVANCE(1170); - if (lookahead == '(') ADVANCE(818); - if (lookahead == ')') ADVANCE(820); - if (lookahead == '*') ADVANCE(1207); - if (lookahead == '+') ADVANCE(1197); - if (lookahead == '-') ADVANCE(1198); - if (lookahead == '/') ADVANCE(121); - if (lookahead == '@') ADVANCE(1203); - if (lookahead == '\\') SKIP(18) - if (lookahead == '`') ADVANCE(1148); - if (lookahead == '|') ADVANCE(126); - if (lookahead == '~') ADVANCE(1201); + case 117: + if (lookahead == '!') ADVANCE(243); + if (lookahead == '"') ADVANCE(1373); + if (lookahead == '#') ADVANCE(1436); + if (lookahead == '%') ADVANCE(1430); + if (lookahead == '&') ADVANCE(1433); + if (lookahead == '(') ADVANCE(890); + if (lookahead == ')') ADVANCE(891); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(1420); + if (lookahead == '.') ADVANCE(1384); + if (lookahead == '/') ADVANCE(1429); + if (lookahead == ':') ADVANCE(182); + if (lookahead == ';') ADVANCE(869); + if (lookahead == '<') ADVANCE(1437); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '>') ADVANCE(1440); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') ADVANCE(12); + if (lookahead == ']') ADVANCE(1413); + if (lookahead == '^') ADVANCE(1427); + if (lookahead == '`') ADVANCE(1363); + if (lookahead == '|') ADVANCE(1435); + if (lookahead == '~') ADVANCE(1423); + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(1212); + if (lookahead == 'B' || + lookahead == 'b') ADVANCE(1136); + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(1267); + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(1154); if (lookahead == 'F' || - lookahead == 'f') ADVANCE(929); + lookahead == 'f') ADVANCE(1298); if (lookahead == 'G' || - lookahead == 'g') ADVANCE(1100); + lookahead == 'g') ADVANCE(1286); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(1052); + lookahead == 'i') ADVANCE(1244); if (lookahead == 'J' || - lookahead == 'j') ADVANCE(1071); + lookahead == 'j') ADVANCE(1268); if (lookahead == 'L' || - lookahead == 'l') ADVANCE(968); + lookahead == 'l') ADVANCE(1137); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(1073); + lookahead == 'n') ADVANCE(1271); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(1088); + lookahead == 'o') ADVANCE(1293); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(1011); - if (lookahead == 'T' || - lookahead == 't') ADVANCE(1089); + lookahead == 'r') ADVANCE(1191); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(1138); + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(1283); if (lookahead == 'W' || - lookahead == 'w') ADVANCE(1005); + lookahead == 'w') ADVANCE(1184); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -3183,35 +3577,54 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(81) - if (('0' <= lookahead && lookahead <= '9')) ADVANCE(927); - if (('A' <= lookahead && lookahead <= 'Z') || - ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + lookahead == 65279) SKIP(117) + if (('0' <= lookahead && lookahead <= '9') || + ('E' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 82: - if (lookahead == '!') ADVANCE(79); - if (lookahead == '"') ADVANCE(1158); - if (lookahead == '$') ADVANCE(1228); - if (lookahead == '\'') ADVANCE(1170); - if (lookahead == '(') ADVANCE(818); - if (lookahead == ')') ADVANCE(820); - if (lookahead == '*') ADVANCE(1207); - if (lookahead == '+') ADVANCE(1197); - if (lookahead == '-') ADVANCE(1198); - if (lookahead == '/') ADVANCE(121); - if (lookahead == '@') ADVANCE(1203); - if (lookahead == '\\') SKIP(30) - if (lookahead == '`') ADVANCE(1148); - if (lookahead == '|') ADVANCE(126); - if (lookahead == '~') ADVANCE(1201); + case 118: + if (lookahead == '!') ADVANCE(243); + if (lookahead == '"') ADVANCE(1373); + if (lookahead == '#') ADVANCE(1436); + if (lookahead == '%') ADVANCE(1430); + if (lookahead == '&') ADVANCE(1433); + if (lookahead == '(') ADVANCE(890); + if (lookahead == ')') ADVANCE(891); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(1420); + if (lookahead == '.') ADVANCE(1384); + if (lookahead == '/') ADVANCE(1429); + if (lookahead == ':') ADVANCE(182); + if (lookahead == '<') ADVANCE(1437); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '>') ADVANCE(1440); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') SKIP(44) + if (lookahead == '^') ADVANCE(1427); + if (lookahead == '`') ADVANCE(1363); + if (lookahead == '|') ADVANCE(1435); + if (lookahead == '~') ADVANCE(1423); + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(1255); if (lookahead == 'F' || - lookahead == 'f') ADVANCE(930); + lookahead == 'f') ADVANCE(1298); + if (lookahead == 'G' || + lookahead == 'g') ADVANCE(1299); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(1069); + lookahead == 'i') ADVANCE(1247); + if (lookahead == 'J' || + lookahead == 'j') ADVANCE(1268); + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(1137); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(1073); - if (lookahead == 'T' || - lookahead == 't') ADVANCE(1089); + lookahead == 'n') ADVANCE(1271); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(1293); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(1192); + if (lookahead == 'W' || + lookahead == 'w') ADVANCE(1186); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -3219,59 +3632,55 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(82) - if (('0' <= lookahead && lookahead <= '9')) ADVANCE(927); - if (('A' <= lookahead && lookahead <= 'Z') || - ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + lookahead == 65279) SKIP(118) + if (('0' <= lookahead && lookahead <= '9') || + ('B' <= lookahead && lookahead <= 'Z') || + ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 83: - if (lookahead == '!') ADVANCE(79); - if (lookahead == '"') ADVANCE(1158); - if (lookahead == '$') ADVANCE(1228); - if (lookahead == '\'') ADVANCE(1170); - if (lookahead == '(') ADVANCE(818); - if (lookahead == '*') ADVANCE(1207); - if (lookahead == '+') ADVANCE(1197); - if (lookahead == '-') ADVANCE(1198); - if (lookahead == '/') ADVANCE(121); - if (lookahead == ';') ADVANCE(729); - if (lookahead == '@') ADVANCE(1203); - if (lookahead == '\\') ADVANCE(4); - if (lookahead == '`') ADVANCE(1148); - if (lookahead == '|') ADVANCE(126); - if (lookahead == '~') ADVANCE(1201); + case 119: + if (lookahead == '!') ADVANCE(243); + if (lookahead == '"') ADVANCE(1373); + if (lookahead == '#') ADVANCE(1436); + if (lookahead == '%') ADVANCE(1430); + if (lookahead == '&') ADVANCE(1433); + if (lookahead == '(') ADVANCE(890); + if (lookahead == ')') ADVANCE(891); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(1420); + if (lookahead == '.') ADVANCE(1384); + if (lookahead == '/') ADVANCE(1429); + if (lookahead == ':') ADVANCE(182); + if (lookahead == '<') ADVANCE(1437); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '>') ADVANCE(1440); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') SKIP(112) + if (lookahead == '^') ADVANCE(1427); + if (lookahead == '`') ADVANCE(1363); + if (lookahead == '|') ADVANCE(1435); + if (lookahead == '~') ADVANCE(1423); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(1026); - if (lookahead == 'B' || - lookahead == 'b') ADVANCE(967); - if (lookahead == 'C' || - lookahead == 'c') ADVANCE(1070); - if (lookahead == 'D' || - lookahead == 'd') ADVANCE(1097); + lookahead == 'a') ADVANCE(1255); if (lookahead == 'F' || - lookahead == 'f') ADVANCE(929); + lookahead == 'f') ADVANCE(1345); if (lookahead == 'G' || - lookahead == 'g') ADVANCE(1087); + lookahead == 'g') ADVANCE(1299); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(1048); + lookahead == 'i') ADVANCE(1247); if (lookahead == 'J' || - lookahead == 'j') ADVANCE(1071); + lookahead == 'j') ADVANCE(1268); if (lookahead == 'L' || - lookahead == 'l') ADVANCE(968); + lookahead == 'l') ADVANCE(1137); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(1073); + lookahead == 'n') ADVANCE(1271); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(1088); + lookahead == 'o') ADVANCE(1293); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(1010); - if (lookahead == 'S' || - lookahead == 's') ADVANCE(969); - if (lookahead == 'T' || - lookahead == 't') ADVANCE(1089); - if (lookahead == 'U' || - lookahead == 'u') ADVANCE(1084); + lookahead == 'r') ADVANCE(1192); if (lookahead == 'W' || - lookahead == 'w') ADVANCE(1005); + lookahead == 'w') ADVANCE(1186); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -3279,36 +3688,45 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(83) - if (('0' <= lookahead && lookahead <= '9')) ADVANCE(927); - if (('E' <= lookahead && lookahead <= 'Z') || - ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + lookahead == 65279) SKIP(119) + if (('0' <= lookahead && lookahead <= '9') || + ('B' <= lookahead && lookahead <= 'Z') || + ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 84: - if (lookahead == '!') ADVANCE(79); - if (lookahead == '"') ADVANCE(1158); - if (lookahead == '$') ADVANCE(1228); - if (lookahead == '\'') ADVANCE(1170); - if (lookahead == '(') ADVANCE(818); - if (lookahead == '*') ADVANCE(1207); - if (lookahead == '+') ADVANCE(1197); - if (lookahead == '-') ADVANCE(1198); - if (lookahead == '/') ADVANCE(121); - if (lookahead == '@') ADVANCE(1203); - if (lookahead == '\\') SKIP(26) - if (lookahead == '`') ADVANCE(1148); - if (lookahead == '|') ADVANCE(126); - if (lookahead == '~') ADVANCE(1201); - if (lookahead == 'F' || - lookahead == 'f') ADVANCE(930); + case 120: + if (lookahead == '!') ADVANCE(243); + if (lookahead == '"') ADVANCE(1373); + if (lookahead == '#') ADVANCE(1436); + if (lookahead == '%') ADVANCE(1430); + if (lookahead == '&') ADVANCE(1433); + if (lookahead == '(') ADVANCE(890); + if (lookahead == ')') ADVANCE(891); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(1420); + if (lookahead == '.') ADVANCE(1384); + if (lookahead == '/') ADVANCE(1429); + if (lookahead == ':') ADVANCE(182); + if (lookahead == '<') ADVANCE(1437); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '>') ADVANCE(1440); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') SKIP(60) + if (lookahead == '^') ADVANCE(1427); + if (lookahead == '`') ADVANCE(1363); + if (lookahead == '|') ADVANCE(1435); + if (lookahead == '~') ADVANCE(1423); + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(1256); + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(1156); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(1069); + lookahead == 'i') ADVANCE(1248); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(1073); - if (lookahead == 'S' || - lookahead == 's') ADVANCE(990); - if (lookahead == 'T' || - lookahead == 't') ADVANCE(1089); + lookahead == 'n') ADVANCE(1271); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(1295); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -3316,36 +3734,45 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(84) - if (('0' <= lookahead && lookahead <= '9')) ADVANCE(927); - if (('A' <= lookahead && lookahead <= 'Z') || - ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + lookahead == 65279) SKIP(120) + if (('0' <= lookahead && lookahead <= '9') || + ('B' <= lookahead && lookahead <= 'Z') || + ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 85: - if (lookahead == '!') ADVANCE(79); - if (lookahead == '"') ADVANCE(1158); - if (lookahead == '$') ADVANCE(1228); - if (lookahead == '\'') ADVANCE(1170); - if (lookahead == '(') ADVANCE(818); - if (lookahead == '*') ADVANCE(1207); - if (lookahead == '+') ADVANCE(1197); - if (lookahead == '-') ADVANCE(1198); - if (lookahead == '/') ADVANCE(121); - if (lookahead == '@') ADVANCE(1203); - if (lookahead == '\\') SKIP(32) - if (lookahead == '`') ADVANCE(1148); - if (lookahead == '|') ADVANCE(126); - if (lookahead == '~') ADVANCE(1201); - if (lookahead == 'D' || - lookahead == 'd') ADVANCE(982); - if (lookahead == 'F' || - lookahead == 'f') ADVANCE(930); + case 121: + if (lookahead == '!') ADVANCE(243); + if (lookahead == '"') ADVANCE(1373); + if (lookahead == '#') ADVANCE(1436); + if (lookahead == '%') ADVANCE(1430); + if (lookahead == '&') ADVANCE(1433); + if (lookahead == '(') ADVANCE(890); + if (lookahead == ')') ADVANCE(891); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(1420); + if (lookahead == '.') ADVANCE(1384); + if (lookahead == '/') ADVANCE(1429); + if (lookahead == ':') ADVANCE(182); + if (lookahead == '<') ADVANCE(1437); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '>') ADVANCE(1440); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') SKIP(64) + if (lookahead == '^') ADVANCE(1427); + if (lookahead == '`') ADVANCE(1363); + if (lookahead == '|') ADVANCE(1435); + if (lookahead == '~') ADVANCE(1423); + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(1255); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(1069); + lookahead == 'i') ADVANCE(1248); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(1073); - if (lookahead == 'T' || - lookahead == 't') ADVANCE(1089); + lookahead == 'n') ADVANCE(1271); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(1295); + if (lookahead == 'W' || + lookahead == 'w') ADVANCE(1186); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -3353,66 +3780,58 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(85) - if (('0' <= lookahead && lookahead <= '9')) ADVANCE(927); - if (('A' <= lookahead && lookahead <= 'Z') || - ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + lookahead == 65279) SKIP(121) + if (('0' <= lookahead && lookahead <= '9') || + ('B' <= lookahead && lookahead <= 'Z') || + ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 86: - if (lookahead == '!') ADVANCE(190); - if (lookahead == '"') ADVANCE(1158); - if (lookahead == '#') ADVANCE(1215); - if (lookahead == '%') ADVANCE(1209); - if (lookahead == '&') ADVANCE(1212); - if (lookahead == '(') ADVANCE(818); - if (lookahead == ')') ADVANCE(820); - if (lookahead == '*') ADVANCE(1207); - if (lookahead == '+') ADVANCE(1197); - if (lookahead == ',') ADVANCE(819); - if (lookahead == '-') ADVANCE(1199); - if (lookahead == '.') ADVANCE(1169); - if (lookahead == '/') ADVANCE(1208); - if (lookahead == ':') ADVANCE(128); - if (lookahead == ';') ADVANCE(729); - if (lookahead == '<') ADVANCE(1216); - if (lookahead == '=') ADVANCE(817); - if (lookahead == '>') ADVANCE(1219); - if (lookahead == '[') ADVANCE(1191); - if (lookahead == '\\') ADVANCE(12); - if (lookahead == '^') ADVANCE(1206); - if (lookahead == '`') ADVANCE(1148); - if (lookahead == '|') ADVANCE(1214); - if (lookahead == '~') ADVANCE(1202); + case 122: + if (lookahead == '!') ADVANCE(243); + if (lookahead == '"') ADVANCE(1373); + if (lookahead == '#') ADVANCE(1436); + if (lookahead == '%') ADVANCE(1430); + if (lookahead == '&') ADVANCE(1433); + if (lookahead == '(') ADVANCE(890); + if (lookahead == ')') ADVANCE(891); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(1420); + if (lookahead == '.') ADVANCE(1383); + if (lookahead == '/') ADVANCE(1429); + if (lookahead == '<') ADVANCE(1437); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '>') ADVANCE(1440); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') SKIP(40) + if (lookahead == '^') ADVANCE(1427); + if (lookahead == '`') ADVANCE(1363); + if (lookahead == '|') ADVANCE(1435); + if (lookahead == '~') ADVANCE(1423); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(1025); - if (lookahead == 'B' || - lookahead == 'b') ADVANCE(967); - if (lookahead == 'C' || - lookahead == 'c') ADVANCE(1070); - if (lookahead == 'D' || - lookahead == 'd') ADVANCE(1097); + lookahead == 'a') ADVANCE(1255); if (lookahead == 'F' || - lookahead == 'f') ADVANCE(1098); + lookahead == 'f') ADVANCE(1298); if (lookahead == 'G' || - lookahead == 'g') ADVANCE(1087); + lookahead == 'g') ADVANCE(1299); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(1051); + lookahead == 'i') ADVANCE(1247); if (lookahead == 'J' || - lookahead == 'j') ADVANCE(1071); + lookahead == 'j') ADVANCE(1268); if (lookahead == 'L' || - lookahead == 'l') ADVANCE(968); + lookahead == 'l') ADVANCE(1137); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(1074); + lookahead == 'n') ADVANCE(1271); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(1094); + lookahead == 'o') ADVANCE(1293); + if (lookahead == 'P' || + lookahead == 'p') ADVANCE(1306); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(1010); - if (lookahead == 'S' || - lookahead == 's') ADVANCE(969); - if (lookahead == 'U' || - lookahead == 'u') ADVANCE(1084); + lookahead == 'r') ADVANCE(1192); + if (lookahead == 'V' || + lookahead == 'v') ADVANCE(1102); if (lookahead == 'W' || - lookahead == 'w') ADVANCE(1005); + lookahead == 'w') ADVANCE(1185); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -3420,55 +3839,58 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(86) + lookahead == 65279) SKIP(122) if (('0' <= lookahead && lookahead <= '9') || - ('E' <= lookahead && lookahead <= 'Z') || - ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('B' <= lookahead && lookahead <= 'Z') || + ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 87: - if (lookahead == '!') ADVANCE(190); - if (lookahead == '"') ADVANCE(1158); - if (lookahead == '#') ADVANCE(1215); - if (lookahead == '%') ADVANCE(1209); - if (lookahead == '&') ADVANCE(1212); - if (lookahead == '(') ADVANCE(818); - if (lookahead == ')') ADVANCE(820); - if (lookahead == '*') ADVANCE(1207); - if (lookahead == '+') ADVANCE(1197); - if (lookahead == ',') ADVANCE(819); - if (lookahead == '-') ADVANCE(1199); - if (lookahead == '.') ADVANCE(1169); - if (lookahead == '/') ADVANCE(1208); - if (lookahead == ':') ADVANCE(128); - if (lookahead == '<') ADVANCE(1216); - if (lookahead == '=') ADVANCE(817); - if (lookahead == '>') ADVANCE(1219); - if (lookahead == '[') ADVANCE(1191); - if (lookahead == '\\') SKIP(28) - if (lookahead == '^') ADVANCE(1206); - if (lookahead == '`') ADVANCE(1148); - if (lookahead == '|') ADVANCE(1214); - if (lookahead == '~') ADVANCE(1202); + case 123: + if (lookahead == '!') ADVANCE(243); + if (lookahead == '"') ADVANCE(1373); + if (lookahead == '#') ADVANCE(1436); + if (lookahead == '%') ADVANCE(1430); + if (lookahead == '&') ADVANCE(1433); + if (lookahead == '(') ADVANCE(890); + if (lookahead == ')') ADVANCE(891); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(1420); + if (lookahead == '.') ADVANCE(1383); + if (lookahead == '/') ADVANCE(1429); + if (lookahead == '<') ADVANCE(1437); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '>') ADVANCE(1440); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') SKIP(110) + if (lookahead == '^') ADVANCE(1427); + if (lookahead == '`') ADVANCE(1363); + if (lookahead == '|') ADVANCE(1435); + if (lookahead == '~') ADVANCE(1423); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(1058); + lookahead == 'a') ADVANCE(1255); if (lookahead == 'F' || - lookahead == 'f') ADVANCE(1098); + lookahead == 'f') ADVANCE(1345); if (lookahead == 'G' || - lookahead == 'g') ADVANCE(1100); + lookahead == 'g') ADVANCE(1299); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(1053); + lookahead == 'i') ADVANCE(1247); if (lookahead == 'J' || - lookahead == 'j') ADVANCE(1071); + lookahead == 'j') ADVANCE(1268); if (lookahead == 'L' || - lookahead == 'l') ADVANCE(968); + lookahead == 'l') ADVANCE(1137); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(1074); + lookahead == 'n') ADVANCE(1271); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(1094); + lookahead == 'o') ADVANCE(1293); + if (lookahead == 'P' || + lookahead == 'p') ADVANCE(1306); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(1011); + lookahead == 'r') ADVANCE(1192); + if (lookahead == 'V' || + lookahead == 'v') ADVANCE(1102); if (lookahead == 'W' || - lookahead == 'w') ADVANCE(1005); + lookahead == 'w') ADVANCE(1185); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -3476,55 +3898,50 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(87) + lookahead == 65279) SKIP(123) if (('0' <= lookahead && lookahead <= '9') || ('B' <= lookahead && lookahead <= 'Z') || - ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 88: - if (lookahead == '!') ADVANCE(190); - if (lookahead == '"') ADVANCE(1158); - if (lookahead == '#') ADVANCE(1215); - if (lookahead == '%') ADVANCE(1209); - if (lookahead == '&') ADVANCE(1212); - if (lookahead == '(') ADVANCE(818); - if (lookahead == ')') ADVANCE(820); - if (lookahead == '*') ADVANCE(1207); - if (lookahead == '+') ADVANCE(1197); - if (lookahead == ',') ADVANCE(819); - if (lookahead == '-') ADVANCE(1199); - if (lookahead == '.') ADVANCE(1169); - if (lookahead == '/') ADVANCE(1208); - if (lookahead == ':') ADVANCE(128); - if (lookahead == '<') ADVANCE(1216); - if (lookahead == '=') ADVANCE(817); - if (lookahead == '>') ADVANCE(1219); - if (lookahead == '[') ADVANCE(1191); - if (lookahead == '\\') SKIP(76) - if (lookahead == '^') ADVANCE(1206); - if (lookahead == '`') ADVANCE(1148); - if (lookahead == '|') ADVANCE(1214); - if (lookahead == '~') ADVANCE(1202); + case 124: + if (lookahead == '!') ADVANCE(243); + if (lookahead == '"') ADVANCE(1373); + if (lookahead == '#') ADVANCE(1436); + if (lookahead == '%') ADVANCE(1430); + if (lookahead == '&') ADVANCE(1433); + if (lookahead == '(') ADVANCE(890); + if (lookahead == ')') ADVANCE(891); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(1420); + if (lookahead == '.') ADVANCE(1383); + if (lookahead == '/') ADVANCE(1429); + if (lookahead == '<') ADVANCE(1437); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '>') ADVANCE(1440); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') SKIP(52) + if (lookahead == '^') ADVANCE(1427); + if (lookahead == '`') ADVANCE(1363); + if (lookahead == '|') ADVANCE(1435); + if (lookahead == '~') ADVANCE(1423); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(1058); - if (lookahead == 'F' || - lookahead == 'f') ADVANCE(1133); - if (lookahead == 'G' || - lookahead == 'g') ADVANCE(1100); + lookahead == 'a') ADVANCE(1256); + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(1156); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(1053); - if (lookahead == 'J' || - lookahead == 'j') ADVANCE(1071); - if (lookahead == 'L' || - lookahead == 'l') ADVANCE(968); + lookahead == 'i') ADVANCE(1248); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(1074); + lookahead == 'n') ADVANCE(1271); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(1094); - if (lookahead == 'R' || - lookahead == 'r') ADVANCE(1011); + lookahead == 'o') ADVANCE(1295); + if (lookahead == 'P' || + lookahead == 'p') ADVANCE(1306); + if (lookahead == 'V' || + lookahead == 'v') ADVANCE(1102); if (lookahead == 'W' || - lookahead == 'w') ADVANCE(1005); + lookahead == 'w') ADVANCE(1207); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -3532,45 +3949,48 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(88) + lookahead == 65279) SKIP(124) if (('0' <= lookahead && lookahead <= '9') || ('B' <= lookahead && lookahead <= 'Z') || - ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 89: - if (lookahead == '!') ADVANCE(190); - if (lookahead == '"') ADVANCE(1158); - if (lookahead == '#') ADVANCE(1215); - if (lookahead == '%') ADVANCE(1209); - if (lookahead == '&') ADVANCE(1212); - if (lookahead == '(') ADVANCE(818); - if (lookahead == ')') ADVANCE(820); - if (lookahead == '*') ADVANCE(1207); - if (lookahead == '+') ADVANCE(1197); - if (lookahead == ',') ADVANCE(819); - if (lookahead == '-') ADVANCE(1199); - if (lookahead == '.') ADVANCE(1169); - if (lookahead == '/') ADVANCE(1208); - if (lookahead == ':') ADVANCE(128); - if (lookahead == '<') ADVANCE(1216); - if (lookahead == '=') ADVANCE(817); - if (lookahead == '>') ADVANCE(1219); - if (lookahead == '[') ADVANCE(1191); - if (lookahead == '\\') SKIP(36) - if (lookahead == '^') ADVANCE(1206); - if (lookahead == '`') ADVANCE(1148); - if (lookahead == '|') ADVANCE(1214); - if (lookahead == '~') ADVANCE(1202); + case 125: + if (lookahead == '!') ADVANCE(243); + if (lookahead == '"') ADVANCE(1373); + if (lookahead == '#') ADVANCE(1436); + if (lookahead == '%') ADVANCE(1430); + if (lookahead == '&') ADVANCE(1433); + if (lookahead == '(') ADVANCE(890); + if (lookahead == ')') ADVANCE(891); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(1420); + if (lookahead == '.') ADVANCE(1383); + if (lookahead == '/') ADVANCE(1429); + if (lookahead == '<') ADVANCE(1437); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '>') ADVANCE(1440); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') SKIP(54) + if (lookahead == '^') ADVANCE(1427); + if (lookahead == '`') ADVANCE(1363); + if (lookahead == '|') ADVANCE(1435); + if (lookahead == '~') ADVANCE(1423); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(1059); - if (lookahead == 'D' || - lookahead == 'd') ADVANCE(983); + lookahead == 'a') ADVANCE(1255); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(1054); + lookahead == 'i') ADVANCE(1248); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(1074); + lookahead == 'n') ADVANCE(1271); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(1095); + lookahead == 'o') ADVANCE(1295); + if (lookahead == 'P' || + lookahead == 'p') ADVANCE(1306); + if (lookahead == 'V' || + lookahead == 'v') ADVANCE(1102); + if (lookahead == 'W' || + lookahead == 'w') ADVANCE(1185); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -3578,65 +3998,65 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(89) + lookahead == 65279) SKIP(125) if (('0' <= lookahead && lookahead <= '9') || ('B' <= lookahead && lookahead <= 'Z') || - ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 90: - if (lookahead == '!') ADVANCE(190); - if (lookahead == '"') ADVANCE(1158); - if (lookahead == '#') ADVANCE(1215); - if (lookahead == '%') ADVANCE(1209); - if (lookahead == '&') ADVANCE(1212); - if (lookahead == '(') ADVANCE(818); - if (lookahead == '*') ADVANCE(1207); - if (lookahead == '+') ADVANCE(1197); - if (lookahead == ',') ADVANCE(819); - if (lookahead == '-') ADVANCE(1199); - if (lookahead == '.') ADVANCE(1169); - if (lookahead == '/') ADVANCE(1208); - if (lookahead == ':') ADVANCE(128); - if (lookahead == ';') ADVANCE(729); - if (lookahead == '<') ADVANCE(1216); - if (lookahead == '=') ADVANCE(817); - if (lookahead == '>') ADVANCE(1219); - if (lookahead == '[') ADVANCE(1191); - if (lookahead == '\\') ADVANCE(16); - if (lookahead == '^') ADVANCE(1206); - if (lookahead == '`') ADVANCE(1148); - if (lookahead == '|') ADVANCE(1214); - if (lookahead == '~') ADVANCE(1202); + case 126: + if (lookahead == '!') ADVANCE(243); + if (lookahead == '"') ADVANCE(1373); + if (lookahead == '#') ADVANCE(1436); + if (lookahead == '%') ADVANCE(1430); + if (lookahead == '&') ADVANCE(1433); + if (lookahead == '(') ADVANCE(890); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(1420); + if (lookahead == '.') ADVANCE(1384); + if (lookahead == '/') ADVANCE(1429); + if (lookahead == ':') ADVANCE(182); + if (lookahead == ';') ADVANCE(869); + if (lookahead == '<') ADVANCE(1437); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '>') ADVANCE(1440); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') ADVANCE(18); + if (lookahead == '^') ADVANCE(1427); + if (lookahead == '`') ADVANCE(1363); + if (lookahead == '|') ADVANCE(1435); + if (lookahead == '~') ADVANCE(1423); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(1025); + lookahead == 'a') ADVANCE(1212); if (lookahead == 'B' || - lookahead == 'b') ADVANCE(967); + lookahead == 'b') ADVANCE(1136); if (lookahead == 'C' || - lookahead == 'c') ADVANCE(1070); + lookahead == 'c') ADVANCE(1267); if (lookahead == 'D' || - lookahead == 'd') ADVANCE(1097); + lookahead == 'd') ADVANCE(1154); if (lookahead == 'F' || - lookahead == 'f') ADVANCE(1133); + lookahead == 'f') ADVANCE(1345); if (lookahead == 'G' || - lookahead == 'g') ADVANCE(1087); + lookahead == 'g') ADVANCE(1286); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(1051); + lookahead == 'i') ADVANCE(1244); if (lookahead == 'J' || - lookahead == 'j') ADVANCE(1071); + lookahead == 'j') ADVANCE(1268); if (lookahead == 'L' || - lookahead == 'l') ADVANCE(968); + lookahead == 'l') ADVANCE(1137); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(1074); + lookahead == 'n') ADVANCE(1271); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(1094); + lookahead == 'o') ADVANCE(1293); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(1010); + lookahead == 'r') ADVANCE(1191); if (lookahead == 'S' || - lookahead == 's') ADVANCE(969); + lookahead == 's') ADVANCE(1138); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(1084); + lookahead == 'u') ADVANCE(1283); if (lookahead == 'W' || - lookahead == 'w') ADVANCE(1005); + lookahead == 'w') ADVANCE(1184); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -3644,60 +4064,59 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(90) + lookahead == 65279) SKIP(126) if (('0' <= lookahead && lookahead <= '9') || ('E' <= lookahead && lookahead <= 'Z') || - ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 91: - if (lookahead == '!') ADVANCE(190); - if (lookahead == '#') ADVANCE(1215); - if (lookahead == '%') ADVANCE(1209); - if (lookahead == '&') ADVANCE(1212); - if (lookahead == '(') ADVANCE(818); - if (lookahead == ')') ADVANCE(820); - if (lookahead == '*') ADVANCE(1207); - if (lookahead == '+') ADVANCE(1197); - if (lookahead == ',') ADVANCE(819); - if (lookahead == '-') ADVANCE(1199); - if (lookahead == '.') ADVANCE(1169); - if (lookahead == '/') ADVANCE(1208); - if (lookahead == ':') ADVANCE(128); - if (lookahead == ';') ADVANCE(729); - if (lookahead == '<') ADVANCE(1216); - if (lookahead == '=') ADVANCE(817); - if (lookahead == '>') ADVANCE(1219); - if (lookahead == 'C') ADVANCE(167); - if (lookahead == '[') ADVANCE(1191); - if (lookahead == '\\') ADVANCE(22); - if (lookahead == '^') ADVANCE(1206); - if (lookahead == 'c') ADVANCE(414); - if (lookahead == '|') ADVANCE(1214); - if (lookahead == '~') ADVANCE(1202); + case 127: + if (lookahead == '!') ADVANCE(243); + if (lookahead == '"') ADVANCE(1373); + if (lookahead == '#') ADVANCE(1436); + if (lookahead == '%') ADVANCE(1430); + if (lookahead == '&') ADVANCE(1433); + if (lookahead == '(') ADVANCE(890); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(1420); + if (lookahead == '.') ADVANCE(1384); + if (lookahead == '/') ADVANCE(1429); + if (lookahead == ':') ADVANCE(182); + if (lookahead == ';') ADVANCE(869); + if (lookahead == '<') ADVANCE(1437); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '>') ADVANCE(1440); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') ADVANCE(34); + if (lookahead == '^') ADVANCE(1427); + if (lookahead == '`') ADVANCE(1363); + if (lookahead == '|') ADVANCE(1435); + if (lookahead == '~') ADVANCE(1423); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(480); + lookahead == 'a') ADVANCE(1212); if (lookahead == 'B' || - lookahead == 'b') ADVANCE(305); + lookahead == 'b') ADVANCE(1136); + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(1267); if (lookahead == 'D' || - lookahead == 'd') ADVANCE(354); + lookahead == 'd') ADVANCE(1154); if (lookahead == 'G' || - lookahead == 'g') ADVANCE(609); + lookahead == 'g') ADVANCE(1301); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(534); + lookahead == 'i') ADVANCE(1246); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(578); + lookahead == 'n') ADVANCE(1271); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(518); - if (lookahead == 'P' || - lookahead == 'p') ADVANCE(605); + lookahead == 'o') ADVANCE(1295); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(383); + lookahead == 'r') ADVANCE(1279); if (lookahead == 'S' || - lookahead == 's') ADVANCE(350); + lookahead == 's') ADVANCE(1138); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(550); + lookahead == 'u') ADVANCE(1283); if (lookahead == 'W' || - lookahead == 'w') ADVANCE(426); + lookahead == 'w') ADVANCE(1184); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -3705,65 +4124,68 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(91) + lookahead == 65279) SKIP(127) + if (('0' <= lookahead && lookahead <= '9') || + ('E' <= lookahead && lookahead <= 'Z') || + ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 92: - if (lookahead == '!') ADVANCE(190); - if (lookahead == '#') ADVANCE(1215); - if (lookahead == '%') ADVANCE(1209); - if (lookahead == '&') ADVANCE(1212); - if (lookahead == '(') ADVANCE(818); - if (lookahead == ')') ADVANCE(820); - if (lookahead == '*') ADVANCE(1207); - if (lookahead == '+') ADVANCE(1197); - if (lookahead == ',') ADVANCE(819); - if (lookahead == '-') ADVANCE(1199); - if (lookahead == '.') ADVANCE(1169); - if (lookahead == '/') ADVANCE(1208); - if (lookahead == ':') ADVANCE(128); - if (lookahead == ';') ADVANCE(729); - if (lookahead == '<') ADVANCE(1216); - if (lookahead == '=') ADVANCE(817); - if (lookahead == '>') ADVANCE(1219); - if (lookahead == 'C') ADVANCE(165); - if (lookahead == '[') ADVANCE(1191); - if (lookahead == '\\') ADVANCE(6); - if (lookahead == '^') ADVANCE(1206); - if (lookahead == 'c') ADVANCE(223); - if (lookahead == '|') ADVANCE(1214); - if (lookahead == '~') ADVANCE(1202); + case 128: + if (lookahead == '!') ADVANCE(243); + if (lookahead == '"') ADVANCE(1373); + if (lookahead == '#') ADVANCE(1436); + if (lookahead == '%') ADVANCE(1430); + if (lookahead == '&') ADVANCE(1433); + if (lookahead == '(') ADVANCE(890); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(1420); + if (lookahead == '.') ADVANCE(1383); + if (lookahead == '/') ADVANCE(1429); + if (lookahead == ';') ADVANCE(869); + if (lookahead == '<') ADVANCE(1437); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '>') ADVANCE(1440); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') ADVANCE(10); + if (lookahead == '^') ADVANCE(1427); + if (lookahead == '`') ADVANCE(1363); + if (lookahead == '|') ADVANCE(1435); + if (lookahead == '~') ADVANCE(1423); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(480); + lookahead == 'a') ADVANCE(1212); if (lookahead == 'B' || - lookahead == 'b') ADVANCE(305); + lookahead == 'b') ADVANCE(1136); + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(1267); if (lookahead == 'D' || - lookahead == 'd') ADVANCE(342); - if (lookahead == 'E' || - lookahead == 'e') ADVANCE(707); + lookahead == 'd') ADVANCE(1154); if (lookahead == 'F' || - lookahead == 'f') ADVANCE(204); + lookahead == 'f') ADVANCE(1298); if (lookahead == 'G' || - lookahead == 'g') ADVANCE(596); + lookahead == 'g') ADVANCE(1286); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(533); + lookahead == 'i') ADVANCE(1244); if (lookahead == 'J' || - lookahead == 'j') ADVANCE(562); + lookahead == 'j') ADVANCE(1268); + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(1137); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(578); + lookahead == 'n') ADVANCE(1271); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(517); + lookahead == 'o') ADVANCE(1293); if (lookahead == 'P' || - lookahead == 'p') ADVANCE(605); + lookahead == 'p') ADVANCE(1306); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(353); + lookahead == 'r') ADVANCE(1191); if (lookahead == 'S' || - lookahead == 's') ADVANCE(344); - if (lookahead == 'T' || - lookahead == 't') ADVANCE(194); + lookahead == 's') ADVANCE(1138); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(550); + lookahead == 'u') ADVANCE(1283); + if (lookahead == 'V' || + lookahead == 'v') ADVANCE(1102); if (lookahead == 'W' || - lookahead == 'w') ADVANCE(426); + lookahead == 'w') ADVANCE(1185); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -3771,67 +4193,68 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(92) + lookahead == 65279) SKIP(128) + if (('0' <= lookahead && lookahead <= '9') || + ('E' <= lookahead && lookahead <= 'Z') || + ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 93: - if (lookahead == '!') ADVANCE(190); - if (lookahead == '#') ADVANCE(1215); - if (lookahead == '%') ADVANCE(1209); - if (lookahead == '&') ADVANCE(1212); - if (lookahead == '(') ADVANCE(818); - if (lookahead == ')') ADVANCE(820); - if (lookahead == '*') ADVANCE(1207); - if (lookahead == '+') ADVANCE(1197); - if (lookahead == ',') ADVANCE(819); - if (lookahead == '-') ADVANCE(1199); - if (lookahead == '.') ADVANCE(1169); - if (lookahead == '/') ADVANCE(1208); - if (lookahead == ':') ADVANCE(128); - if (lookahead == ';') ADVANCE(729); - if (lookahead == '<') ADVANCE(1216); - if (lookahead == '=') ADVANCE(817); - if (lookahead == '>') ADVANCE(1219); - if (lookahead == '[') ADVANCE(1191); - if (lookahead == '\\') ADVANCE(8); - if (lookahead == '^') ADVANCE(1206); - if (lookahead == '|') ADVANCE(1214); - if (lookahead == '~') ADVANCE(1202); + case 129: + if (lookahead == '!') ADVANCE(243); + if (lookahead == '"') ADVANCE(1373); + if (lookahead == '#') ADVANCE(1436); + if (lookahead == '%') ADVANCE(1430); + if (lookahead == '&') ADVANCE(1433); + if (lookahead == '(') ADVANCE(890); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(1420); + if (lookahead == '.') ADVANCE(1383); + if (lookahead == '/') ADVANCE(1429); + if (lookahead == ';') ADVANCE(869); + if (lookahead == '<') ADVANCE(1437); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '>') ADVANCE(1440); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') ADVANCE(108); + if (lookahead == '^') ADVANCE(1427); + if (lookahead == '`') ADVANCE(1363); + if (lookahead == '|') ADVANCE(1435); + if (lookahead == '~') ADVANCE(1423); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(479); + lookahead == 'a') ADVANCE(1212); if (lookahead == 'B' || - lookahead == 'b') ADVANCE(305); + lookahead == 'b') ADVANCE(1136); if (lookahead == 'C' || - lookahead == 'c') ADVANCE(243); + lookahead == 'c') ADVANCE(1267); if (lookahead == 'D' || - lookahead == 'd') ADVANCE(599); - if (lookahead == 'E' || - lookahead == 'e') ADVANCE(708); + lookahead == 'd') ADVANCE(1154); if (lookahead == 'F' || - lookahead == 'f') ADVANCE(568); + lookahead == 'f') ADVANCE(1345); if (lookahead == 'G' || - lookahead == 'g') ADVANCE(596); + lookahead == 'g') ADVANCE(1286); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(507); + lookahead == 'i') ADVANCE(1244); if (lookahead == 'J' || - lookahead == 'j') ADVANCE(562); + lookahead == 'j') ADVANCE(1268); if (lookahead == 'L' || - lookahead == 'l') ADVANCE(197); + lookahead == 'l') ADVANCE(1137); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(578); + lookahead == 'n') ADVANCE(1271); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(588); + lookahead == 'o') ADVANCE(1293); if (lookahead == 'P' || - lookahead == 'p') ADVANCE(212); + lookahead == 'p') ADVANCE(1306); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(346); + lookahead == 'r') ADVANCE(1191); if (lookahead == 'S' || - lookahead == 's') ADVANCE(209); + lookahead == 's') ADVANCE(1138); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(520); + lookahead == 'u') ADVANCE(1283); if (lookahead == 'V' || - lookahead == 'v') ADVANCE(561); + lookahead == 'v') ADVANCE(1102); if (lookahead == 'W' || - lookahead == 'w') ADVANCE(418); + lookahead == 'w') ADVANCE(1185); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -3839,51 +4262,62 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(93) + lookahead == 65279) SKIP(129) + if (('0' <= lookahead && lookahead <= '9') || + ('E' <= lookahead && lookahead <= 'Z') || + ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 94: - if (lookahead == '!') ADVANCE(190); - if (lookahead == '#') ADVANCE(1215); - if (lookahead == '%') ADVANCE(1209); - if (lookahead == '&') ADVANCE(1212); - if (lookahead == '(') ADVANCE(818); - if (lookahead == ')') ADVANCE(820); - if (lookahead == '*') ADVANCE(1207); - if (lookahead == '+') ADVANCE(1197); - if (lookahead == ',') ADVANCE(819); - if (lookahead == '-') ADVANCE(1199); - if (lookahead == '.') ADVANCE(1169); - if (lookahead == '/') ADVANCE(1208); - if (lookahead == ':') ADVANCE(128); - if (lookahead == '<') ADVANCE(1216); - if (lookahead == '=') ADVANCE(817); - if (lookahead == '>') ADVANCE(1219); - if (lookahead == 'C') ADVANCE(168); - if (lookahead == '[') ADVANCE(1191); - if (lookahead == '\\') SKIP(24) - if (lookahead == ']') ADVANCE(1192); - if (lookahead == '^') ADVANCE(1206); - if (lookahead == 'c') ADVANCE(413); - if (lookahead == '|') ADVANCE(1214); - if (lookahead == '~') ADVANCE(1202); + case 130: + if (lookahead == '!') ADVANCE(243); + if (lookahead == '"') ADVANCE(1373); + if (lookahead == '#') ADVANCE(1436); + if (lookahead == '%') ADVANCE(1430); + if (lookahead == '&') ADVANCE(1433); + if (lookahead == '(') ADVANCE(890); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(1420); + if (lookahead == '.') ADVANCE(1383); + if (lookahead == '/') ADVANCE(1429); + if (lookahead == ';') ADVANCE(869); + if (lookahead == '<') ADVANCE(1437); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '>') ADVANCE(1440); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') ADVANCE(32); + if (lookahead == '^') ADVANCE(1427); + if (lookahead == '`') ADVANCE(1363); + if (lookahead == '|') ADVANCE(1435); + if (lookahead == '~') ADVANCE(1423); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(541); + lookahead == 'a') ADVANCE(1212); + if (lookahead == 'B' || + lookahead == 'b') ADVANCE(1136); + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(1267); if (lookahead == 'D' || - lookahead == 'd') ADVANCE(341); + lookahead == 'd') ADVANCE(1154); + if (lookahead == 'G' || + lookahead == 'g') ADVANCE(1301); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(535); + lookahead == 'i') ADVANCE(1246); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(578); + lookahead == 'n') ADVANCE(1271); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(518); + lookahead == 'o') ADVANCE(1295); if (lookahead == 'P' || - lookahead == 'p') ADVANCE(605); + lookahead == 'p') ADVANCE(1306); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(382); + lookahead == 'r') ADVANCE(1279); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(1138); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(549); + lookahead == 'u') ADVANCE(1283); + if (lookahead == 'V' || + lookahead == 'v') ADVANCE(1102); if (lookahead == 'W' || - lookahead == 'w') ADVANCE(426); + lookahead == 'w') ADVANCE(1185); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -3891,40 +4325,41 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(94) + lookahead == 65279) SKIP(130) + if (('0' <= lookahead && lookahead <= '9') || + ('E' <= lookahead && lookahead <= 'Z') || + ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 95: - if (lookahead == '!') ADVANCE(190); - if (lookahead == '#') ADVANCE(1215); - if (lookahead == '%') ADVANCE(1209); - if (lookahead == '&') ADVANCE(1212); - if (lookahead == '(') ADVANCE(818); - if (lookahead == ')') ADVANCE(820); - if (lookahead == '*') ADVANCE(1207); - if (lookahead == '+') ADVANCE(1197); - if (lookahead == ',') ADVANCE(819); - if (lookahead == '-') ADVANCE(1199); - if (lookahead == '.') ADVANCE(1169); - if (lookahead == '/') ADVANCE(1208); - if (lookahead == ':') ADVANCE(128); - if (lookahead == '<') ADVANCE(1216); - if (lookahead == '=') ADVANCE(817); - if (lookahead == '>') ADVANCE(1219); - if (lookahead == '[') ADVANCE(1191); - if (lookahead == '\\') SKIP(38) - if (lookahead == '^') ADVANCE(1206); - if (lookahead == '|') ADVANCE(1214); - if (lookahead == '~') ADVANCE(1202); + case 131: + if (lookahead == '!') ADVANCE(243); + if (lookahead == '"') ADVANCE(1373); + if (lookahead == '#') ADVANCE(1436); + if (lookahead == '%') ADVANCE(1430); + if (lookahead == '&') ADVANCE(1433); + if (lookahead == '(') ADVANCE(890); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == '-') ADVANCE(1420); + if (lookahead == '.') ADVANCE(1384); + if (lookahead == '/') ADVANCE(1429); + if (lookahead == ':') ADVANCE(182); + if (lookahead == '<') ADVANCE(1437); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '>') ADVANCE(1440); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') SKIP(68) + if (lookahead == '^') ADVANCE(1427); + if (lookahead == '`') ADVANCE(1363); + if (lookahead == '|') ADVANCE(1435); + if (lookahead == '~') ADVANCE(1423); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(540); - if (lookahead == 'G' || - lookahead == 'g') ADVANCE(602); + lookahead == 'a') ADVANCE(1255); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(535); + lookahead == 'i') ADVANCE(1248); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(577); + lookahead == 'n') ADVANCE(1271); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(588); + lookahead == 'o') ADVANCE(1249); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -3932,40 +4367,46 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(95) + lookahead == 65279) SKIP(131) + if (('0' <= lookahead && lookahead <= '9') || + ('B' <= lookahead && lookahead <= 'Z') || + ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 96: - if (lookahead == '!') ADVANCE(190); - if (lookahead == '#') ADVANCE(1215); - if (lookahead == '%') ADVANCE(1209); - if (lookahead == '&') ADVANCE(1212); - if (lookahead == '(') ADVANCE(818); - if (lookahead == ')') ADVANCE(820); - if (lookahead == '*') ADVANCE(1207); - if (lookahead == '+') ADVANCE(1197); - if (lookahead == ',') ADVANCE(819); - if (lookahead == '-') ADVANCE(1199); - if (lookahead == '.') ADVANCE(1169); - if (lookahead == '/') ADVANCE(1208); - if (lookahead == ':') ADVANCE(128); - if (lookahead == '<') ADVANCE(1216); - if (lookahead == '=') ADVANCE(817); - if (lookahead == '>') ADVANCE(1219); - if (lookahead == '[') ADVANCE(1191); - if (lookahead == '\\') SKIP(40) - if (lookahead == '^') ADVANCE(1206); - if (lookahead == '|') ADVANCE(1214); - if (lookahead == '~') ADVANCE(1202); + case 132: + if (lookahead == '!') ADVANCE(243); + if (lookahead == '"') ADVANCE(1373); + if (lookahead == '#') ADVANCE(1436); + if (lookahead == '%') ADVANCE(1430); + if (lookahead == '&') ADVANCE(1433); + if (lookahead == '(') ADVANCE(890); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == '-') ADVANCE(1420); + if (lookahead == '.') ADVANCE(1383); + if (lookahead == '/') ADVANCE(1429); + if (lookahead == '<') ADVANCE(1437); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '>') ADVANCE(1440); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') SKIP(62) + if (lookahead == '^') ADVANCE(1427); + if (lookahead == '`') ADVANCE(1363); + if (lookahead == '|') ADVANCE(1435); + if (lookahead == '~') ADVANCE(1423); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(540); - if (lookahead == 'D' || - lookahead == 'd') ADVANCE(385); + lookahead == 'a') ADVANCE(1255); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(537); + lookahead == 'i') ADVANCE(1248); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(577); + lookahead == 'n') ADVANCE(1271); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(595); + lookahead == 'o') ADVANCE(1249); + if (lookahead == 'P' || + lookahead == 'p') ADVANCE(1306); + if (lookahead == 'V' || + lookahead == 'v') ADVANCE(1102); + if (lookahead == 'W' || + lookahead == 'w') ADVANCE(1207); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -3973,49 +4414,64 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(96) + lookahead == 65279) SKIP(132) + if (('0' <= lookahead && lookahead <= '9') || + ('B' <= lookahead && lookahead <= 'Z') || + ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 97: - if (lookahead == '!') ADVANCE(190); - if (lookahead == '#') ADVANCE(1215); - if (lookahead == '%') ADVANCE(1209); - if (lookahead == '&') ADVANCE(1212); - if (lookahead == '(') ADVANCE(818); - if (lookahead == ')') ADVANCE(820); - if (lookahead == '*') ADVANCE(1207); - if (lookahead == '+') ADVANCE(1197); - if (lookahead == '-') ADVANCE(1199); - if (lookahead == '.') ADVANCE(1169); - if (lookahead == '/') ADVANCE(1208); - if (lookahead == ':') ADVANCE(128); - if (lookahead == '<') ADVANCE(1216); - if (lookahead == '=') ADVANCE(817); - if (lookahead == '>') ADVANCE(1219); - if (lookahead == '[') ADVANCE(1191); - if (lookahead == '\\') SKIP(34) - if (lookahead == '^') ADVANCE(1206); - if (lookahead == '|') ADVANCE(1214); - if (lookahead == '~') ADVANCE(1202); + case 133: + if (lookahead == '!') ADVANCE(243); + if (lookahead == '#') ADVANCE(1436); + if (lookahead == '%') ADVANCE(1430); + if (lookahead == '&') ADVANCE(1433); + if (lookahead == '(') ADVANCE(890); + if (lookahead == ')') ADVANCE(891); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(1420); + if (lookahead == '.') ADVANCE(1384); + if (lookahead == '/') ADVANCE(1429); + if (lookahead == ':') ADVANCE(182); + if (lookahead == ';') ADVANCE(869); + if (lookahead == '<') ADVANCE(1437); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '>') ADVANCE(1440); + if (lookahead == 'C') ADVANCE(220); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') ADVANCE(16); + if (lookahead == '^') ADVANCE(1427); + if (lookahead == 'c') ADVANCE(504); + if (lookahead == '|') ADVANCE(1435); + if (lookahead == '~') ADVANCE(1423); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(540); + lookahead == 'a') ADVANCE(584); + if (lookahead == 'B' || + lookahead == 'b') ADVANCE(375); + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(425); if (lookahead == 'F' || - lookahead == 'f') ADVANCE(699); + lookahead == 'f') ADVANCE(718); if (lookahead == 'G' || - lookahead == 'g') ADVANCE(602); + lookahead == 'g') ADVANCE(728); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(536); - if (lookahead == 'J' || - lookahead == 'j') ADVANCE(562); + lookahead == 'i') ADVANCE(645); if (lookahead == 'L' || - lookahead == 'l') ADVANCE(308); + lookahead == 'l') ADVANCE(520); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(577); + lookahead == 'n') ADVANCE(695); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(588); + lookahead == 'o') ADVANCE(625); + if (lookahead == 'P' || + lookahead == 'p') ADVANCE(724); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(425); + lookahead == 'r') ADVANCE(463); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(423); + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(665); if (lookahead == 'W' || - lookahead == 'w') ADVANCE(415); + lookahead == 'w') ADVANCE(512); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -4023,56 +4479,57 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(97) + lookahead == 65279) SKIP(133) END_STATE(); - case 98: - if (lookahead == '!') ADVANCE(190); - if (lookahead == '#') ADVANCE(1215); - if (lookahead == '%') ADVANCE(1209); - if (lookahead == '&') ADVANCE(1212); - if (lookahead == '(') ADVANCE(818); - if (lookahead == '*') ADVANCE(1207); - if (lookahead == '+') ADVANCE(1197); - if (lookahead == ',') ADVANCE(819); - if (lookahead == '-') ADVANCE(1199); - if (lookahead == '.') ADVANCE(1169); - if (lookahead == '/') ADVANCE(1208); - if (lookahead == ':') ADVANCE(128); - if (lookahead == ';') ADVANCE(729); - if (lookahead == '<') ADVANCE(1216); - if (lookahead == '=') ADVANCE(817); - if (lookahead == '>') ADVANCE(1219); - if (lookahead == 'C') ADVANCE(167); - if (lookahead == '[') ADVANCE(1191); - if (lookahead == '\\') ADVANCE(10); - if (lookahead == '^') ADVANCE(1206); - if (lookahead == 'c') ADVANCE(414); - if (lookahead == '|') ADVANCE(1214); - if (lookahead == '~') ADVANCE(1202); + case 134: + if (lookahead == '!') ADVANCE(243); + if (lookahead == '#') ADVANCE(1436); + if (lookahead == '%') ADVANCE(1430); + if (lookahead == '&') ADVANCE(1433); + if (lookahead == '(') ADVANCE(890); + if (lookahead == ')') ADVANCE(891); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(1420); + if (lookahead == '.') ADVANCE(1384); + if (lookahead == '/') ADVANCE(1429); + if (lookahead == ':') ADVANCE(182); + if (lookahead == ';') ADVANCE(869); + if (lookahead == '<') ADVANCE(1437); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '>') ADVANCE(1440); + if (lookahead == 'C') ADVANCE(220); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') ADVANCE(42); + if (lookahead == '^') ADVANCE(1427); + if (lookahead == 'c') ADVANCE(504); + if (lookahead == '|') ADVANCE(1435); + if (lookahead == '~') ADVANCE(1423); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(480); + lookahead == 'a') ADVANCE(584); if (lookahead == 'B' || - lookahead == 'b') ADVANCE(305); + lookahead == 'b') ADVANCE(375); if (lookahead == 'D' || - lookahead == 'd') ADVANCE(343); + lookahead == 'd') ADVANCE(471); if (lookahead == 'G' || - lookahead == 'g') ADVANCE(609); + lookahead == 'g') ADVANCE(728); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(533); + lookahead == 'i') ADVANCE(647); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(578); + lookahead == 'n') ADVANCE(695); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(595); + lookahead == 'o') ADVANCE(625); if (lookahead == 'P' || - lookahead == 'p') ADVANCE(605); + lookahead == 'p') ADVANCE(724); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(383); + lookahead == 'r') ADVANCE(463); if (lookahead == 'S' || - lookahead == 's') ADVANCE(350); + lookahead == 's') ADVANCE(423); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(550); + lookahead == 'u') ADVANCE(665); if (lookahead == 'W' || - lookahead == 'w') ADVANCE(416); + lookahead == 'w') ADVANCE(535); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -4080,57 +4537,71 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(98) + lookahead == 65279) SKIP(134) END_STATE(); - case 99: - if (lookahead == '!') ADVANCE(190); - if (lookahead == '#') ADVANCE(1215); - if (lookahead == '%') ADVANCE(1209); - if (lookahead == '&') ADVANCE(1212); - if (lookahead == '(') ADVANCE(818); - if (lookahead == '*') ADVANCE(1207); - if (lookahead == '+') ADVANCE(1197); - if (lookahead == ',') ADVANCE(819); - if (lookahead == '-') ADVANCE(1198); - if (lookahead == '/') ADVANCE(1208); - if (lookahead == ':') ADVANCE(128); - if (lookahead == ';') ADVANCE(729); - if (lookahead == '<') ADVANCE(1216); - if (lookahead == '=') ADVANCE(817); - if (lookahead == '>') ADVANCE(1219); - if (lookahead == '[') ADVANCE(1191); - if (lookahead == '\\') ADVANCE(20); - if (lookahead == '^') ADVANCE(1206); - if (lookahead == '|') ADVANCE(1214); - if (lookahead == '~') ADVANCE(1202); + case 135: + if (lookahead == '!') ADVANCE(243); + if (lookahead == '#') ADVANCE(1436); + if (lookahead == '%') ADVANCE(1430); + if (lookahead == '&') ADVANCE(1433); + if (lookahead == '(') ADVANCE(890); + if (lookahead == ')') ADVANCE(891); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(1420); + if (lookahead == '.') ADVANCE(1384); + if (lookahead == '/') ADVANCE(1429); + if (lookahead == ':') ADVANCE(182); + if (lookahead == ';') ADVANCE(869); + if (lookahead == '<') ADVANCE(1437); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '>') ADVANCE(1440); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') ADVANCE(4); + if (lookahead == '^') ADVANCE(1427); + if (lookahead == '|') ADVANCE(1435); + if (lookahead == '~') ADVANCE(1423); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(479); + lookahead == 'a') ADVANCE(583); if (lookahead == 'B' || - lookahead == 'b') ADVANCE(305); + lookahead == 'b') ADVANCE(375); if (lookahead == 'C' || - lookahead == 'c') ADVANCE(244); + lookahead == 'c') ADVANCE(304); if (lookahead == 'D' || - lookahead == 'd') ADVANCE(599); + lookahead == 'd') ADVANCE(469); + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(835); + if (lookahead == 'F' || + lookahead == 'f') ADVANCE(256); if (lookahead == 'G' || - lookahead == 'g') ADVANCE(596); + lookahead == 'g') ADVANCE(713); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(506); + lookahead == 'i') ADVANCE(611); + if (lookahead == 'J' || + lookahead == 'j') ADVANCE(677); if (lookahead == 'L' || - lookahead == 'l') ADVANCE(196); + lookahead == 'l') ADVANCE(290); + if (lookahead == 'M' || + lookahead == 'm') ADVANCE(310); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(578); + lookahead == 'n') ADVANCE(695); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(588); + lookahead == 'o') ADVANCE(476); if (lookahead == 'P' || - lookahead == 'p') ADVANCE(210); + lookahead == 'p') ADVANCE(260); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(347); + lookahead == 'r') ADVANCE(415); if (lookahead == 'S' || - lookahead == 's') ADVANCE(209); + lookahead == 's') ADVANCE(264); + if (lookahead == 'T' || + lookahead == 't') ADVANCE(247); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(556); + lookahead == 'u') ADVANCE(628); if (lookahead == 'V' || - lookahead == 'v') ADVANCE(561); + lookahead == 'v') ADVANCE(297); + if (lookahead == 'W' || + lookahead == 'w') ADVANCE(513); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -4138,20 +4609,61 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(99) + lookahead == 65279) SKIP(135) END_STATE(); - case 100: - if (lookahead == '"') ADVANCE(1158); - if (lookahead == '$') ADVANCE(114); - if (lookahead == '\'') ADVANCE(1170); - if (lookahead == '(') ADVANCE(818); - if (lookahead == ')') ADVANCE(820); - if (lookahead == ',') ADVANCE(819); - if (lookahead == '-') ADVANCE(124); - if (lookahead == '.') ADVANCE(1168); - if (lookahead == '/') ADVANCE(121); - if (lookahead == '\\') SKIP(60) - if (lookahead == '`') ADVANCE(1148); + case 136: + if (lookahead == '!') ADVANCE(243); + if (lookahead == '#') ADVANCE(1436); + if (lookahead == '%') ADVANCE(1430); + if (lookahead == '&') ADVANCE(1433); + if (lookahead == '(') ADVANCE(890); + if (lookahead == ')') ADVANCE(891); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(1420); + if (lookahead == '.') ADVANCE(1384); + if (lookahead == '/') ADVANCE(1429); + if (lookahead == ':') ADVANCE(182); + if (lookahead == '<') ADVANCE(1437); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '>') ADVANCE(1440); + if (lookahead == 'C') ADVANCE(221); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') SKIP(30) + if (lookahead == ']') ADVANCE(1413); + if (lookahead == '^') ADVANCE(1427); + if (lookahead == 'c') ADVANCE(503); + if (lookahead == '|') ADVANCE(1435); + if (lookahead == '~') ADVANCE(1423); + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(652); + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(427); + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(557); + if (lookahead == 'F' || + lookahead == 'f') ADVANCE(718); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(646); + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(520); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(695); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(478); + if (lookahead == 'P' || + lookahead == 'p') ADVANCE(715); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(462); + if (lookahead == 'T' || + lookahead == 't') ADVANCE(505); + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(664); + if (lookahead == 'V' || + lookahead == 'v') ADVANCE(287); + if (lookahead == 'W' || + lookahead == 'w') ADVANCE(506); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -4159,25 +4671,48 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(100) - if (('0' <= lookahead && lookahead <= '9') || - ('A' <= lookahead && lookahead <= 'Z') || - ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + lookahead == 65279) SKIP(136) END_STATE(); - case 101: - if (lookahead == '"') ADVANCE(1158); - if (lookahead == '(') ADVANCE(818); - if (lookahead == ')') ADVANCE(820); - if (lookahead == ',') ADVANCE(819); - if (lookahead == '-') ADVANCE(124); - if (lookahead == '.') ADVANCE(1168); - if (lookahead == '/') ADVANCE(121); - if (lookahead == '=') ADVANCE(817); - if (lookahead == '[') ADVANCE(1191); - if (lookahead == '\\') SKIP(52) - if (lookahead == '`') ADVANCE(1148); + case 137: + if (lookahead == '!') ADVANCE(243); + if (lookahead == '#') ADVANCE(1436); + if (lookahead == '%') ADVANCE(1430); + if (lookahead == '&') ADVANCE(1433); + if (lookahead == '(') ADVANCE(890); + if (lookahead == ')') ADVANCE(891); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(1420); + if (lookahead == '.') ADVANCE(1384); + if (lookahead == '/') ADVANCE(1429); + if (lookahead == ':') ADVANCE(182); + if (lookahead == '<') ADVANCE(1437); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '>') ADVANCE(1440); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') SKIP(56) + if (lookahead == '^') ADVANCE(1427); + if (lookahead == '|') ADVANCE(1435); + if (lookahead == '~') ADVANCE(1423); + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(653); + if (lookahead == 'G' || + lookahead == 'g') ADVANCE(722); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(646); + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(520); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(1073); + lookahead == 'n') ADVANCE(694); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(705); + if (lookahead == 'P' || + lookahead == 'p') ADVANCE(735); + if (lookahead == 'V' || + lookahead == 'v') ADVANCE(287); + if (lookahead == 'W' || + lookahead == 'w') ADVANCE(521); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -4185,22 +4720,46 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(101) - if (('0' <= lookahead && lookahead <= '9') || - ('A' <= lookahead && lookahead <= 'Z') || - ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + lookahead == 65279) SKIP(137) END_STATE(); - case 102: - if (lookahead == '"') ADVANCE(1158); - if (lookahead == ')') ADVANCE(820); - if (lookahead == ',') ADVANCE(819); - if (lookahead == '-') ADVANCE(124); - if (lookahead == '.') ADVANCE(1168); - if (lookahead == '/') ADVANCE(121); - if (lookahead == '\\') SKIP(64) - if (lookahead == '`') ADVANCE(1148); + case 138: + if (lookahead == '!') ADVANCE(243); + if (lookahead == '#') ADVANCE(1436); + if (lookahead == '%') ADVANCE(1430); + if (lookahead == '&') ADVANCE(1433); + if (lookahead == '(') ADVANCE(890); + if (lookahead == ')') ADVANCE(891); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(1420); + if (lookahead == '.') ADVANCE(1384); + if (lookahead == '/') ADVANCE(1429); + if (lookahead == ':') ADVANCE(182); + if (lookahead == '<') ADVANCE(1437); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '>') ADVANCE(1440); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') SKIP(58) + if (lookahead == '^') ADVANCE(1427); + if (lookahead == '|') ADVANCE(1435); + if (lookahead == '~') ADVANCE(1423); + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(653); + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(466); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(649); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(694); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(712); + if (lookahead == 'P' || + lookahead == 'p') ADVANCE(735); + if (lookahead == 'V' || + lookahead == 'v') ADVANCE(287); if (lookahead == 'W' || - lookahead == 'w') ADVANCE(1021); + lookahead == 'w') ADVANCE(521); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -4208,39 +4767,63 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(102) - if (('0' <= lookahead && lookahead <= '9') || - ('A' <= lookahead && lookahead <= 'Z') || - ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + lookahead == 65279) SKIP(138) END_STATE(); - case 103: - if (lookahead == '"') ADVANCE(1158); - if (lookahead == '-') ADVANCE(124); - if (lookahead == '.') ADVANCE(1168); - if (lookahead == '/') ADVANCE(121); - if (lookahead == ';') ADVANCE(729); - if (lookahead == '\\') ADVANCE(46); - if (lookahead == '`') ADVANCE(1148); + case 139: + if (lookahead == '!') ADVANCE(243); + if (lookahead == '#') ADVANCE(1436); + if (lookahead == '%') ADVANCE(1430); + if (lookahead == '&') ADVANCE(1433); + if (lookahead == '(') ADVANCE(890); + if (lookahead == ')') ADVANCE(891); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(1420); + if (lookahead == '.') ADVANCE(1383); + if (lookahead == '/') ADVANCE(1429); + if (lookahead == ':') ADVANCE(182); + if (lookahead == ';') ADVANCE(869); + if (lookahead == '<') ADVANCE(1437); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '>') ADVANCE(1440); + if (lookahead == 'C') ADVANCE(218); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') ADVANCE(14); + if (lookahead == '^') ADVANCE(1427); + if (lookahead == 'c') ADVANCE(277); + if (lookahead == '|') ADVANCE(1435); + if (lookahead == '~') ADVANCE(1423); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(1026); + lookahead == 'a') ADVANCE(584); if (lookahead == 'B' || - lookahead == 'b') ADVANCE(967); - if (lookahead == 'C' || - lookahead == 'c') ADVANCE(1070); + lookahead == 'b') ADVANCE(375); if (lookahead == 'D' || - lookahead == 'd') ADVANCE(1097); + lookahead == 'd') ADVANCE(425); + if (lookahead == 'F' || + lookahead == 'f') ADVANCE(718); if (lookahead == 'G' || - lookahead == 'g') ADVANCE(1101); + lookahead == 'g') ADVANCE(728); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(1066); + lookahead == 'i') ADVANCE(645); + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(520); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(695); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(625); + if (lookahead == 'P' || + lookahead == 'p') ADVANCE(715); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(1081); + lookahead == 'r') ADVANCE(417); if (lookahead == 'S' || - lookahead == 's') ADVANCE(969); + lookahead == 's') ADVANCE(423); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(1084); + lookahead == 'u') ADVANCE(665); + if (lookahead == 'V' || + lookahead == 'v') ADVANCE(287); if (lookahead == 'W' || - lookahead == 'w') ADVANCE(1021); + lookahead == 'w') ADVANCE(511); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -4248,36 +4831,114 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(103) - if (('0' <= lookahead && lookahead <= '9') || - ('E' <= lookahead && lookahead <= 'Z') || - ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + lookahead == 65279) SKIP(139) END_STATE(); - case 104: - if (lookahead == '"') ADVANCE(1158); - if (lookahead == '-') ADVANCE(124); - if (lookahead == '/') ADVANCE(121); - if (lookahead == ';') ADVANCE(729); - if (lookahead == '\\') ADVANCE(50); - if (lookahead == '`') ADVANCE(1148); + case 140: + if (lookahead == '!') ADVANCE(243); + if (lookahead == '#') ADVANCE(1436); + if (lookahead == '%') ADVANCE(1430); + if (lookahead == '&') ADVANCE(1433); + if (lookahead == '(') ADVANCE(890); + if (lookahead == ')') ADVANCE(891); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == '-') ADVANCE(1420); + if (lookahead == '.') ADVANCE(1384); + if (lookahead == '/') ADVANCE(1429); + if (lookahead == ':') ADVANCE(182); + if (lookahead == '<') ADVANCE(1437); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '>') ADVANCE(1440); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') SKIP(48) + if (lookahead == '^') ADVANCE(1427); + if (lookahead == '|') ADVANCE(1435); + if (lookahead == '~') ADVANCE(1423); + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(653); + if (lookahead == 'F' || + lookahead == 'f') ADVANCE(830); + if (lookahead == 'G' || + lookahead == 'g') ADVANCE(722); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(648); + if (lookahead == 'J' || + lookahead == 'j') ADVANCE(677); + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(378); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(694); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(705); + if (lookahead == 'P' || + lookahead == 'p') ADVANCE(735); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(517); + if (lookahead == 'V' || + lookahead == 'v') ADVANCE(287); + if (lookahead == 'W' || + lookahead == 'w') ADVANCE(511); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\f' || + lookahead == '\r' || + lookahead == ' ' || + lookahead == 8203 || + lookahead == 8288 || + lookahead == 65279) SKIP(140) + END_STATE(); + case 141: + if (lookahead == '!') ADVANCE(243); + if (lookahead == '#') ADVANCE(1436); + if (lookahead == '%') ADVANCE(1430); + if (lookahead == '&') ADVANCE(1433); + if (lookahead == '(') ADVANCE(890); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(1420); + if (lookahead == '.') ADVANCE(1384); + if (lookahead == '/') ADVANCE(1429); + if (lookahead == ':') ADVANCE(182); + if (lookahead == ';') ADVANCE(869); + if (lookahead == '<') ADVANCE(1437); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '>') ADVANCE(1440); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') ADVANCE(20); + if (lookahead == '^') ADVANCE(1427); + if (lookahead == '|') ADVANCE(1435); + if (lookahead == '~') ADVANCE(1423); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(1026); + lookahead == 'a') ADVANCE(583); if (lookahead == 'B' || - lookahead == 'b') ADVANCE(967); + lookahead == 'b') ADVANCE(375); if (lookahead == 'C' || - lookahead == 'c') ADVANCE(1070); + lookahead == 'c') ADVANCE(305); if (lookahead == 'D' || - lookahead == 'd') ADVANCE(1097); + lookahead == 'd') ADVANCE(470); if (lookahead == 'G' || - lookahead == 'g') ADVANCE(1101); + lookahead == 'g') ADVANCE(713); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(1066); + lookahead == 'i') ADVANCE(612); + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(291); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(695); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(477); + if (lookahead == 'P' || + lookahead == 'p') ADVANCE(258); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(1081); + lookahead == 'r') ADVANCE(416); if (lookahead == 'S' || - lookahead == 's') ADVANCE(969); + lookahead == 's') ADVANCE(266); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(1084); + lookahead == 'u') ADVANCE(671); + if (lookahead == 'V' || + lookahead == 'v') ADVANCE(676); + if (lookahead == 'W' || + lookahead == 'w') ADVANCE(535); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -4285,27 +4946,59 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(104) - if (('0' <= lookahead && lookahead <= '9') || - ('E' <= lookahead && lookahead <= 'Z') || - ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + lookahead == 65279) SKIP(141) END_STATE(); - case 105: - if (lookahead == '"') ADVANCE(1158); - if (lookahead == '-') ADVANCE(124); - if (lookahead == '/') ADVANCE(121); - if (lookahead == '\\') SKIP(54) - if (lookahead == '`') ADVANCE(1148); + case 142: + if (lookahead == '!') ADVANCE(243); + if (lookahead == '#') ADVANCE(1436); + if (lookahead == '%') ADVANCE(1430); + if (lookahead == '&') ADVANCE(1433); + if (lookahead == '(') ADVANCE(890); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(1420); + if (lookahead == '.') ADVANCE(1383); + if (lookahead == '/') ADVANCE(1429); + if (lookahead == ';') ADVANCE(869); + if (lookahead == '<') ADVANCE(1437); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '>') ADVANCE(1440); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') ADVANCE(8); + if (lookahead == '^') ADVANCE(1427); + if (lookahead == '|') ADVANCE(1435); + if (lookahead == '~') ADVANCE(1423); + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(583); + if (lookahead == 'B' || + lookahead == 'b') ADVANCE(375); if (lookahead == 'C' || - lookahead == 'c') ADVANCE(1007); - if (lookahead == 'E' || - lookahead == 'e') ADVANCE(1144); - if (lookahead == 'F' || - lookahead == 'f') ADVANCE(1080); + lookahead == 'c') ADVANCE(306); + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(470); + if (lookahead == 'G' || + lookahead == 'g') ADVANCE(713); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(612); + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(291); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(695); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(705); if (lookahead == 'P' || - lookahead == 'p') ADVANCE(1099); + lookahead == 'p') ADVANCE(261); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(416); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(266); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(1062); + lookahead == 'u') ADVANCE(671); + if (lookahead == 'V' || + lookahead == 'v') ADVANCE(288); + if (lookahead == 'W' || + lookahead == 'w') ADVANCE(521); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -4313,23 +5006,62 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(105) - if (('0' <= lookahead && lookahead <= '9') || - ('A' <= lookahead && lookahead <= 'Z') || - ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + lookahead == 65279) SKIP(142) END_STATE(); - case 106: - if (lookahead == '"') ADVANCE(1158); - if (lookahead == '-') ADVANCE(124); - if (lookahead == '/') ADVANCE(121); - if (lookahead == '\\') SKIP(56) - if (lookahead == '`') ADVANCE(1148); + case 143: + if (lookahead == '!') ADVANCE(243); + if (lookahead == '#') ADVANCE(1436); + if (lookahead == '%') ADVANCE(1430); + if (lookahead == '&') ADVANCE(1433); + if (lookahead == '(') ADVANCE(890); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == '-') ADVANCE(1420); + if (lookahead == '.') ADVANCE(1383); + if (lookahead == '/') ADVANCE(1429); + if (lookahead == ';') ADVANCE(869); + if (lookahead == '<') ADVANCE(1437); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '>') ADVANCE(1440); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') ADVANCE(26); + if (lookahead == '^') ADVANCE(1427); + if (lookahead == '|') ADVANCE(1435); + if (lookahead == '~') ADVANCE(1423); + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(585); + if (lookahead == 'B' || + lookahead == 'b') ADVANCE(375); + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(687); + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(470); + if (lookahead == 'F' || + lookahead == 'f') ADVANCE(830); + if (lookahead == 'G' || + lookahead == 'g') ADVANCE(713); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(1056); + lookahead == 'i') ADVANCE(644); + if (lookahead == 'J' || + lookahead == 'j') ADVANCE(677); + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(378); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(694); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(1136); + lookahead == 'o') ADVANCE(705); + if (lookahead == 'P' || + lookahead == 'p') ADVANCE(735); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(518); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(423); + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(701); if (lookahead == 'V' || - lookahead == 'v') ADVANCE(941); + lookahead == 'v') ADVANCE(287); + if (lookahead == 'W' || + lookahead == 'w') ADVANCE(511); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -4337,19 +5069,56 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(106) - if (('0' <= lookahead && lookahead <= '9') || - ('A' <= lookahead && lookahead <= 'Z') || - ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + lookahead == 65279) SKIP(143) END_STATE(); - case 107: - if (lookahead == '"') ADVANCE(1158); - if (lookahead == '-') ADVANCE(124); - if (lookahead == '/') ADVANCE(121); - if (lookahead == '\\') SKIP(58) - if (lookahead == '`') ADVANCE(1148); + case 144: + if (lookahead == '!') ADVANCE(243); + if (lookahead == '#') ADVANCE(1436); + if (lookahead == '%') ADVANCE(1430); + if (lookahead == '&') ADVANCE(1433); + if (lookahead == '(') ADVANCE(890); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == '-') ADVANCE(1420); + if (lookahead == '.') ADVANCE(1383); + if (lookahead == '/') ADVANCE(1429); + if (lookahead == ';') ADVANCE(869); + if (lookahead == '<') ADVANCE(1437); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '>') ADVANCE(1440); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') ADVANCE(36); + if (lookahead == '^') ADVANCE(1427); + if (lookahead == '|') ADVANCE(1435); + if (lookahead == '~') ADVANCE(1423); + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(585); + if (lookahead == 'B' || + lookahead == 'b') ADVANCE(375); + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(687); + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(419); + if (lookahead == 'G' || + lookahead == 'g') ADVANCE(728); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(647); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(694); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(712); + if (lookahead == 'P' || + lookahead == 'p') ADVANCE(735); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(697); if (lookahead == 'S' || - lookahead == 's') ADVANCE(995); + lookahead == 's') ADVANCE(423); + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(701); + if (lookahead == 'V' || + lookahead == 'v') ADVANCE(287); + if (lookahead == 'W' || + lookahead == 'w') ADVANCE(521); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -4357,23 +5126,57 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(107) - if (('0' <= lookahead && lookahead <= '9') || - ('A' <= lookahead && lookahead <= 'Z') || - ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + lookahead == 65279) SKIP(144) END_STATE(); - case 108: - if (lookahead == '"') ADVANCE(1158); - if (lookahead == '-') ADVANCE(124); - if (lookahead == '/') ADVANCE(121); - if (lookahead == '\\') SKIP(62) - if (lookahead == '`') ADVANCE(1148); + case 145: + if (lookahead == '!') ADVANCE(243); + if (lookahead == '#') ADVANCE(1436); + if (lookahead == '%') ADVANCE(1430); + if (lookahead == '&') ADVANCE(1433); + if (lookahead == ')') ADVANCE(891); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(1420); + if (lookahead == '/') ADVANCE(1429); + if (lookahead == ':') ADVANCE(182); + if (lookahead == ';') ADVANCE(869); + if (lookahead == '<') ADVANCE(1437); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '>') ADVANCE(1440); + if (lookahead == 'C') ADVANCE(220); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') ADVANCE(22); + if (lookahead == '^') ADVANCE(1427); + if (lookahead == 'c') ADVANCE(504); + if (lookahead == '|') ADVANCE(1435); + if (lookahead == '~') ADVANCE(1423); + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(584); + if (lookahead == 'B' || + lookahead == 'b') ADVANCE(375); if (lookahead == 'D' || - lookahead == 'd') ADVANCE(940); + lookahead == 'd') ADVANCE(425); + if (lookahead == 'G' || + lookahead == 'g') ADVANCE(713); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(645); + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(520); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(695); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(705); + if (lookahead == 'P' || + lookahead == 'p') ADVANCE(724); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(463); if (lookahead == 'S' || - lookahead == 's') ADVANCE(960); - if (lookahead == 'T' || - lookahead == 't') ADVANCE(933); + lookahead == 's') ADVANCE(423); + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(665); + if (lookahead == 'W' || + lookahead == 'w') ADVANCE(535); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -4381,21 +5184,46 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(108) - if (('0' <= lookahead && lookahead <= '9') || - ('A' <= lookahead && lookahead <= 'Z') || - ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + lookahead == 65279) SKIP(145) END_STATE(); - case 109: - if (lookahead == '"') ADVANCE(1158); - if (lookahead == '-') ADVANCE(124); - if (lookahead == '/') ADVANCE(121); - if (lookahead == '\\') SKIP(66) - if (lookahead == '`') ADVANCE(1148); + case 146: + if (lookahead == '!') ADVANCE(115); + if (lookahead == '"') ADVANCE(1373); + if (lookahead == '$') ADVANCE(1449); + if (lookahead == '\'') ADVANCE(1385); + if (lookahead == '(') ADVANCE(890); + if (lookahead == ')') ADVANCE(891); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == '-') ADVANCE(1419); + if (lookahead == '/') ADVANCE(175); + if (lookahead == '@') ADVANCE(1424); + if (lookahead == '\\') SKIP(28) + if (lookahead == '`') ADVANCE(1363); + if (lookahead == '|') ADVANCE(180); + if (lookahead == '~') ADVANCE(1422); + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(1090); + if (lookahead == 'F' || + lookahead == 'f') ADVANCE(1096); + if (lookahead == 'G' || + lookahead == 'g') ADVANCE(1299); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(998); + lookahead == 'i') ADVANCE(1245); + if (lookahead == 'J' || + lookahead == 'j') ADVANCE(1268); + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(1112); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(1270); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(1064); + lookahead == 'o') ADVANCE(1287); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(1192); + if (lookahead == 'T' || + lookahead == 't') ADVANCE(1288); + if (lookahead == 'W' || + lookahead == 'w') ADVANCE(1186); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -4403,19 +5231,39 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(109) - if (('0' <= lookahead && lookahead <= '9') || - ('A' <= lookahead && lookahead <= 'Z') || - ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + lookahead == 65279) SKIP(146) + if (('0' <= lookahead && lookahead <= '9')) ADVANCE(1088); + if (('A' <= lookahead && lookahead <= 'Z') || + ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 110: - if (lookahead == '"') ADVANCE(1158); - if (lookahead == '-') ADVANCE(124); - if (lookahead == '/') ADVANCE(121); - if (lookahead == '\\') SKIP(68) - if (lookahead == '`') ADVANCE(1148); + case 147: + if (lookahead == '!') ADVANCE(115); + if (lookahead == '"') ADVANCE(1373); + if (lookahead == '$') ADVANCE(1449); + if (lookahead == '\'') ADVANCE(1385); + if (lookahead == '(') ADVANCE(890); + if (lookahead == ')') ADVANCE(891); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == '-') ADVANCE(1419); + if (lookahead == '/') ADVANCE(175); + if (lookahead == '@') ADVANCE(1424); + if (lookahead == '\\') SKIP(46) + if (lookahead == '`') ADVANCE(1363); + if (lookahead == '|') ADVANCE(180); + if (lookahead == '~') ADVANCE(1422); + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(1090); + if (lookahead == 'F' || + lookahead == 'f') ADVANCE(1097); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(998); + lookahead == 'i') ADVANCE(1266); + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(1113); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(1270); + if (lookahead == 'T' || + lookahead == 't') ADVANCE(1288); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -4423,19 +5271,167 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(110) - if (('0' <= lookahead && lookahead <= '9') || - ('A' <= lookahead && lookahead <= 'Z') || - ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + lookahead == 65279) SKIP(147) + if (('0' <= lookahead && lookahead <= '9')) ADVANCE(1088); + if (('A' <= lookahead && lookahead <= 'Z') || + ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 111: - if (lookahead == '"') ADVANCE(1158); - if (lookahead == '-') ADVANCE(124); - if (lookahead == '/') ADVANCE(121); - if (lookahead == '\\') SKIP(70) - if (lookahead == '`') ADVANCE(1148); + case 148: + if (lookahead == '!') ADVANCE(115); + if (lookahead == '"') ADVANCE(1373); + if (lookahead == '$') ADVANCE(1449); + if (lookahead == '\'') ADVANCE(1385); + if (lookahead == '(') ADVANCE(890); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == '-') ADVANCE(1419); + if (lookahead == '/') ADVANCE(175); + if (lookahead == ';') ADVANCE(869); + if (lookahead == '@') ADVANCE(1424); + if (lookahead == '\\') ADVANCE(6); + if (lookahead == '`') ADVANCE(1363); + if (lookahead == '|') ADVANCE(180); + if (lookahead == '~') ADVANCE(1422); + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(1213); + if (lookahead == 'B' || + lookahead == 'b') ADVANCE(1136); + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(1089); + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(1154); + if (lookahead == 'F' || + lookahead == 'f') ADVANCE(1096); + if (lookahead == 'G' || + lookahead == 'g') ADVANCE(1286); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(1241); + if (lookahead == 'J' || + lookahead == 'j') ADVANCE(1268); + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(1112); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(1270); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(1064); + lookahead == 'o') ADVANCE(1287); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(1191); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(1138); + if (lookahead == 'T' || + lookahead == 't') ADVANCE(1288); + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(1283); + if (lookahead == 'W' || + lookahead == 'w') ADVANCE(1184); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\f' || + lookahead == '\r' || + lookahead == ' ' || + lookahead == 8203 || + lookahead == 8288 || + lookahead == 65279) SKIP(148) + if (('0' <= lookahead && lookahead <= '9')) ADVANCE(1088); + if (('E' <= lookahead && lookahead <= 'Z') || + ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 149: + if (lookahead == '!') ADVANCE(115); + if (lookahead == '"') ADVANCE(1373); + if (lookahead == '$') ADVANCE(1449); + if (lookahead == '\'') ADVANCE(1385); + if (lookahead == '(') ADVANCE(890); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == '-') ADVANCE(1419); + if (lookahead == '/') ADVANCE(175); + if (lookahead == '@') ADVANCE(1424); + if (lookahead == '\\') SKIP(38) + if (lookahead == '`') ADVANCE(1363); + if (lookahead == '|') ADVANCE(180); + if (lookahead == '~') ADVANCE(1422); + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(1090); + if (lookahead == 'F' || + lookahead == 'f') ADVANCE(1097); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(1266); + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(1113); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(1270); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(1171); + if (lookahead == 'T' || + lookahead == 't') ADVANCE(1288); + if (lookahead == 'W' || + lookahead == 'w') ADVANCE(1196); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\f' || + lookahead == '\r' || + lookahead == ' ' || + lookahead == 8203 || + lookahead == 8288 || + lookahead == 65279) SKIP(149) + if (('0' <= lookahead && lookahead <= '9')) ADVANCE(1088); + if (('A' <= lookahead && lookahead <= 'Z') || + ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 150: + if (lookahead == '!') ADVANCE(115); + if (lookahead == '"') ADVANCE(1373); + if (lookahead == '$') ADVANCE(1449); + if (lookahead == '\'') ADVANCE(1385); + if (lookahead == '(') ADVANCE(890); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == '-') ADVANCE(1419); + if (lookahead == '/') ADVANCE(175); + if (lookahead == '@') ADVANCE(1424); + if (lookahead == '\\') SKIP(50) + if (lookahead == '`') ADVANCE(1363); + if (lookahead == '|') ADVANCE(180); + if (lookahead == '~') ADVANCE(1422); + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(1090); + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(1155); + if (lookahead == 'F' || + lookahead == 'f') ADVANCE(1097); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(1266); + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(1113); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(1270); + if (lookahead == 'T' || + lookahead == 't') ADVANCE(1288); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\f' || + lookahead == '\r' || + lookahead == ' ' || + lookahead == 8203 || + lookahead == 8288 || + lookahead == 65279) SKIP(150) + if (('0' <= lookahead && lookahead <= '9')) ADVANCE(1088); + if (('A' <= lookahead && lookahead <= 'Z') || + ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 151: + if (lookahead == '"') ADVANCE(1373); + if (lookahead == '$') ADVANCE(166); + if (lookahead == '\'') ADVANCE(1385); + if (lookahead == '(') ADVANCE(890); + if (lookahead == ')') ADVANCE(891); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(178); + if (lookahead == '.') ADVANCE(1383); + if (lookahead == '/') ADVANCE(175); + if (lookahead == '\\') SKIP(86) + if (lookahead == '`') ADVANCE(1363); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -4443,21 +5439,122 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(111) + lookahead == 65279) SKIP(151) if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || - ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 112: - if (lookahead == '"') ADVANCE(1158); - if (lookahead == '-') ADVANCE(124); - if (lookahead == '/') ADVANCE(121); - if (lookahead == '\\') SKIP(72) - if (lookahead == '`') ADVANCE(1148); + case 152: + if (lookahead == '"') ADVANCE(1373); + if (lookahead == '(') ADVANCE(890); + if (lookahead == ')') ADVANCE(891); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(178); + if (lookahead == '.') ADVANCE(1383); + if (lookahead == '/') ADVANCE(175); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') SKIP(76) + if (lookahead == '`') ADVANCE(1363); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(1270); + if (lookahead == 'P' || + lookahead == 'p') ADVANCE(1306); + if (lookahead == 'V' || + lookahead == 'v') ADVANCE(1102); + if (lookahead == 'W' || + lookahead == 'w') ADVANCE(1207); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\f' || + lookahead == '\r' || + lookahead == ' ' || + lookahead == 8203 || + lookahead == 8288 || + lookahead == 65279) SKIP(152) + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 153: + if (lookahead == '"') ADVANCE(1373); + if (lookahead == ')') ADVANCE(891); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(178); + if (lookahead == '.') ADVANCE(1383); + if (lookahead == '/') ADVANCE(175); + if (lookahead == '\\') SKIP(92) + if (lookahead == '`') ADVANCE(1363); + if (lookahead == 'W' || + lookahead == 'w') ADVANCE(1196); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\f' || + lookahead == '\r' || + lookahead == ' ' || + lookahead == 8203 || + lookahead == 8288 || + lookahead == 65279) SKIP(153) + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 154: + if (lookahead == '"') ADVANCE(1373); + if (lookahead == '-') ADVANCE(178); + if (lookahead == '.') ADVANCE(1383); + if (lookahead == '/') ADVANCE(175); + if (lookahead == ';') ADVANCE(869); + if (lookahead == '\\') ADVANCE(78); + if (lookahead == '`') ADVANCE(1363); + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(1213); + if (lookahead == 'B' || + lookahead == 'b') ADVANCE(1136); + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(1267); + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(1154); if (lookahead == 'G' || - lookahead == 'g') ADVANCE(1100); + lookahead == 'g') ADVANCE(1301); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(1263); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(1279); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(1138); + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(1283); + if (lookahead == 'W' || + lookahead == 'w') ADVANCE(1196); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\f' || + lookahead == '\r' || + lookahead == ' ' || + lookahead == 8203 || + lookahead == 8288 || + lookahead == 65279) SKIP(154) + if (('0' <= lookahead && lookahead <= '9') || + ('E' <= lookahead && lookahead <= 'Z') || + ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 155: + if (lookahead == '"') ADVANCE(1373); + if (lookahead == '-') ADVANCE(178); + if (lookahead == '/') ADVANCE(175); + if (lookahead == '\\') SKIP(82) + if (lookahead == '`') ADVANCE(1363); + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(1188); + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(1358); + if (lookahead == 'F' || + lookahead == 'f') ADVANCE(1280); if (lookahead == 'P' || - lookahead == 'p') ADVANCE(1142); + lookahead == 'p') ADVANCE(1300); + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(1259); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -4465,21 +5562,213 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(112) + lookahead == 65279) SKIP(155) if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || - ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 113: - if (lookahead == '"') ADVANCE(1158); - if (lookahead == '-') ADVANCE(124); - if (lookahead == '/') ADVANCE(121); - if (lookahead == '\\') SKIP(74) - if (lookahead == '`') ADVANCE(1148); + case 156: + if (lookahead == '"') ADVANCE(1373); + if (lookahead == '-') ADVANCE(178); + if (lookahead == '/') ADVANCE(175); + if (lookahead == '\\') SKIP(84) + if (lookahead == '`') ADVANCE(1363); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(1251); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(1349); + if (lookahead == 'V' || + lookahead == 'v') ADVANCE(1105); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\f' || + lookahead == '\r' || + lookahead == ' ' || + lookahead == 8203 || + lookahead == 8288 || + lookahead == 65279) SKIP(156) + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 157: + if (lookahead == '"') ADVANCE(1373); + if (lookahead == '-') ADVANCE(178); + if (lookahead == '/') ADVANCE(175); + if (lookahead == '\\') SKIP(88) + if (lookahead == '`') ADVANCE(1363); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(1170); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\f' || + lookahead == '\r' || + lookahead == ' ' || + lookahead == 8203 || + lookahead == 8288 || + lookahead == 65279) SKIP(157) + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 158: + if (lookahead == '"') ADVANCE(1373); + if (lookahead == '-') ADVANCE(178); + if (lookahead == '/') ADVANCE(175); + if (lookahead == '\\') SKIP(90) + if (lookahead == '`') ADVANCE(1363); + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(1106); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(1125); + if (lookahead == 'T' || + lookahead == 't') ADVANCE(1094); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\f' || + lookahead == '\r' || + lookahead == ' ' || + lookahead == 8203 || + lookahead == 8288 || + lookahead == 65279) SKIP(158) + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 159: + if (lookahead == '"') ADVANCE(1373); + if (lookahead == '-') ADVANCE(178); + if (lookahead == '/') ADVANCE(175); + if (lookahead == '\\') SKIP(94) + if (lookahead == '`') ADVANCE(1363); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(1175); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(1261); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\f' || + lookahead == '\r' || + lookahead == ' ' || + lookahead == 8203 || + lookahead == 8288 || + lookahead == 65279) SKIP(159) + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 160: + if (lookahead == '"') ADVANCE(1373); + if (lookahead == '-') ADVANCE(178); + if (lookahead == '/') ADVANCE(175); + if (lookahead == '\\') SKIP(96) + if (lookahead == '`') ADVANCE(1363); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(1175); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\f' || + lookahead == '\r' || + lookahead == ' ' || + lookahead == 8203 || + lookahead == 8288 || + lookahead == 65279) SKIP(160) + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 161: + if (lookahead == '"') ADVANCE(1373); + if (lookahead == '-') ADVANCE(178); + if (lookahead == '/') ADVANCE(175); + if (lookahead == '\\') SKIP(98) + if (lookahead == '`') ADVANCE(1363); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(1261); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\f' || + lookahead == '\r' || + lookahead == ' ' || + lookahead == 8203 || + lookahead == 8288 || + lookahead == 65279) SKIP(161) + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 162: + if (lookahead == '"') ADVANCE(1373); + if (lookahead == '-') ADVANCE(178); + if (lookahead == '/') ADVANCE(175); + if (lookahead == '\\') SKIP(100) + if (lookahead == '`') ADVANCE(1363); + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(1276); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\f' || + lookahead == '\r' || + lookahead == ' ' || + lookahead == 8203 || + lookahead == 8288 || + lookahead == 65279) SKIP(162) + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 163: + if (lookahead == '"') ADVANCE(1373); + if (lookahead == '-') ADVANCE(178); + if (lookahead == '/') ADVANCE(175); + if (lookahead == '\\') SKIP(102) + if (lookahead == '`') ADVANCE(1363); + if (lookahead == 'G' || + lookahead == 'g') ADVANCE(1299); + if (lookahead == 'P' || + lookahead == 'p') ADVANCE(1344); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\f' || + lookahead == '\r' || + lookahead == ' ' || + lookahead == 8203 || + lookahead == 8288 || + lookahead == 65279) SKIP(163) + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 164: + if (lookahead == '"') ADVANCE(1373); + if (lookahead == '-') ADVANCE(178); + if (lookahead == '/') ADVANCE(175); + if (lookahead == '\\') SKIP(104) + if (lookahead == '`') ADVANCE(1363); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(1168); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\f' || + lookahead == '\r' || + lookahead == ' ' || + lookahead == 8203 || + lookahead == 8288 || + lookahead == 65279) SKIP(164) + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 165: + if (lookahead == '"') ADVANCE(1373); + if (lookahead == '-') ADVANCE(178); + if (lookahead == '/') ADVANCE(175); + if (lookahead == '\\') SKIP(106) + if (lookahead == '`') ADVANCE(1363); if (lookahead == 'L' || - lookahead == 'l') ADVANCE(1078); + lookahead == 'l') ADVANCE(1277); if (lookahead == 'S' || - lookahead == 's') ADVANCE(984); + lookahead == 's') ADVANCE(1160); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -4487,24 +5776,24 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(113) + lookahead == 65279) SKIP(165) if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || - ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 114: - if (lookahead == '$') ADVANCE(1180); + case 166: + if (lookahead == '$') ADVANCE(1395); END_STATE(); - case 115: - if (lookahead == '$') ADVANCE(123); - if (lookahead == '*') ADVANCE(1188); - if (lookahead != 0) ADVANCE(1189); + case 167: + if (lookahead == '$') ADVANCE(177); + if (lookahead == '*') ADVANCE(1403); + if (lookahead != 0) ADVANCE(1404); END_STATE(); - case 116: - if (lookahead == '$') ADVANCE(713); - if (lookahead == '-') ADVANCE(1186); - if (lookahead == '/') ADVANCE(1184); - if (lookahead == '\\') ADVANCE(1181); + case 168: + if (lookahead == '$') ADVANCE(844); + if (lookahead == '-') ADVANCE(1401); + if (lookahead == '/') ADVANCE(1399); + if (lookahead == '\\') ADVANCE(1396); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -4512,63 +5801,63 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) ADVANCE(1185); - if (lookahead != 0) ADVANCE(1187); + lookahead == 65279) ADVANCE(1400); + if (lookahead != 0) ADVANCE(1402); END_STATE(); - case 117: - if (lookahead == '&') ADVANCE(1224); + case 169: + if (lookahead == '&') ADVANCE(1445); END_STATE(); - case 118: - if (lookahead == '&') ADVANCE(117); - if (lookahead == '(') ADVANCE(818); - if (lookahead == ')') ADVANCE(820); - if (lookahead == ',') ADVANCE(819); - if (lookahead == '-') ADVANCE(124); - if (lookahead == '.') ADVANCE(1168); - if (lookahead == '/') ADVANCE(121); - if (lookahead == ';') ADVANCE(729); - if (lookahead == '=') ADVANCE(817); - if (lookahead == '[') ADVANCE(1191); - if (lookahead == '\\') ADVANCE(14); - if (lookahead == '|') ADVANCE(189); + case 170: + if (lookahead == '&') ADVANCE(169); + if (lookahead == '(') ADVANCE(890); + if (lookahead == ')') ADVANCE(891); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(178); + if (lookahead == '.') ADVANCE(1383); + if (lookahead == '/') ADVANCE(175); + if (lookahead == ':') ADVANCE(182); + if (lookahead == ';') ADVANCE(869); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '\\') ADVANCE(24); + if (lookahead == '|') ADVANCE(242); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(291); + lookahead == 'a') ADVANCE(367); if (lookahead == 'B' || - lookahead == 'b') ADVANCE(305); + lookahead == 'b') ADVANCE(375); if (lookahead == 'C' || - lookahead == 'c') ADVANCE(201); + lookahead == 'c') ADVANCE(253); if (lookahead == 'D' || - lookahead == 'd') ADVANCE(386); + lookahead == 'd') ADVANCE(418); if (lookahead == 'E' || - lookahead == 'e') ADVANCE(705); + lookahead == 'e') ADVANCE(836); if (lookahead == 'F' || - lookahead == 'f') ADVANCE(567); + lookahead == 'f') ADVANCE(683); if (lookahead == 'G' || - lookahead == 'g') ADVANCE(596); + lookahead == 'g') ADVANCE(713); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(508); + lookahead == 'i') ADVANCE(613); if (lookahead == 'J' || - lookahead == 'j') ADVANCE(562); + lookahead == 'j') ADVANCE(677); if (lookahead == 'L' || - lookahead == 'l') ADVANCE(197); + lookahead == 'l') ADVANCE(290); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(563); + lookahead == 'n') ADVANCE(678); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(519); + lookahead == 'o') ADVANCE(626); if (lookahead == 'P' || - lookahead == 'p') ADVANCE(212); + lookahead == 'p') ADVANCE(260); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(345); + lookahead == 'r') ADVANCE(420); if (lookahead == 'S' || - lookahead == 's') ADVANCE(208); + lookahead == 's') ADVANCE(263); if (lookahead == 'T' || - lookahead == 't') ADVANCE(193); + lookahead == 't') ADVANCE(246); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(522); + lookahead == 'u') ADVANCE(630); if (lookahead == 'V' || - lookahead == 'v') ADVANCE(235); + lookahead == 'v') ADVANCE(297); if (lookahead == 'W' || - lookahead == 'w') ADVANCE(418); + lookahead == 'w') ADVANCE(512); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -4576,47 +5865,49 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(118) + lookahead == 65279) SKIP(170) END_STATE(); - case 119: - if (lookahead == '(') ADVANCE(818); - if (lookahead == ')') ADVANCE(820); - if (lookahead == ',') ADVANCE(819); - if (lookahead == '-') ADVANCE(124); - if (lookahead == '/') ADVANCE(121); - if (lookahead == ';') ADVANCE(729); - if (lookahead == '=') ADVANCE(817); - if (lookahead == 'C') ADVANCE(166); - if (lookahead == 'E') ADVANCE(187); - if (lookahead == 'I') ADVANCE(159); - if (lookahead == 'T') ADVANCE(132); - if (lookahead == 'V') ADVANCE(146); - if (lookahead == '[') ADVANCE(1191); - if (lookahead == '\\') ADVANCE(42); - if (lookahead == 'c') ADVANCE(225); - if (lookahead == 'i') ADVANCE(538); + case 171: + if (lookahead == '(') ADVANCE(890); + if (lookahead == ')') ADVANCE(891); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(178); + if (lookahead == '.') ADVANCE(1383); + if (lookahead == '/') ADVANCE(175); + if (lookahead == ';') ADVANCE(869); + if (lookahead == '=') ADVANCE(972); + if (lookahead == 'C') ADVANCE(219); + if (lookahead == 'E') ADVANCE(240); + if (lookahead == 'I') ADVANCE(212); + if (lookahead == 'T') ADVANCE(185); + if (lookahead == 'V') ADVANCE(200); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') ADVANCE(66); + if (lookahead == 'c') ADVANCE(281); + if (lookahead == 'i') ADVANCE(650); + if (lookahead == 'v') ADVANCE(287); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(482); + lookahead == 'a') ADVANCE(587); if (lookahead == 'B' || - lookahead == 'b') ADVANCE(305); + lookahead == 'b') ADVANCE(375); if (lookahead == 'D' || - lookahead == 'd') ADVANCE(343); + lookahead == 'd') ADVANCE(425); if (lookahead == 'G' || - lookahead == 'g') ADVANCE(609); + lookahead == 'g') ADVANCE(728); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(563); + lookahead == 'n') ADVANCE(679); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(702); + lookahead == 'o') ADVANCE(627); if (lookahead == 'P' || - lookahead == 'p') ADVANCE(605); + lookahead == 'p') ADVANCE(715); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(383); + lookahead == 'r') ADVANCE(463); if (lookahead == 'S' || - lookahead == 's') ADVANCE(351); + lookahead == 's') ADVANCE(424); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(550); + lookahead == 'u') ADVANCE(665); if (lookahead == 'W' || - lookahead == 'w') ADVANCE(426); + lookahead == 'w') ADVANCE(521); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -4624,39 +5915,90 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(119) + lookahead == 65279) SKIP(171) END_STATE(); - case 120: - if (lookahead == ')') ADVANCE(820); - if (lookahead == ',') ADVANCE(819); - if (lookahead == '-') ADVANCE(124); - if (lookahead == '/') ADVANCE(121); - if (lookahead == ';') ADVANCE(729); - if (lookahead == 'C') ADVANCE(167); - if (lookahead == '\\') ADVANCE(44); - if (lookahead == 'c') ADVANCE(414); + case 172: + if (lookahead == '(') ADVANCE(890); + if (lookahead == '-') ADVANCE(178); + if (lookahead == '.') ADVANCE(1383); + if (lookahead == '/') ADVANCE(175); + if (lookahead == ';') ADVANCE(869); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') ADVANCE(70); + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(586); + if (lookahead == 'B' || + lookahead == 'b') ADVANCE(375); + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(253); + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(470); + if (lookahead == 'G' || + lookahead == 'g') ADVANCE(728); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(614); + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(289); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(679); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(834); + if (lookahead == 'P' || + lookahead == 'p') ADVANCE(261); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(416); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(265); + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(671); + if (lookahead == 'V' || + lookahead == 'v') ADVANCE(288); + if (lookahead == 'W' || + lookahead == 'w') ADVANCE(521); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\f' || + lookahead == '\r' || + lookahead == ' ' || + lookahead == 8203 || + lookahead == 8288 || + lookahead == 65279) SKIP(172) + END_STATE(); + case 173: + if (lookahead == ')') ADVANCE(891); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(178); + if (lookahead == '/') ADVANCE(175); + if (lookahead == ';') ADVANCE(869); + if (lookahead == '=') ADVANCE(972); + if (lookahead == 'C') ADVANCE(219); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') ADVANCE(72); + if (lookahead == 'c') ADVANCE(281); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(482); + lookahead == 'a') ADVANCE(587); if (lookahead == 'B' || - lookahead == 'b') ADVANCE(305); + lookahead == 'b') ADVANCE(375); if (lookahead == 'D' || - lookahead == 'd') ADVANCE(343); + lookahead == 'd') ADVANCE(425); if (lookahead == 'G' || - lookahead == 'g') ADVANCE(609); + lookahead == 'g') ADVANCE(728); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(539); + lookahead == 'i') ADVANCE(650); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(578); + lookahead == 'n') ADVANCE(679); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(834); if (lookahead == 'P' || - lookahead == 'p') ADVANCE(605); + lookahead == 'p') ADVANCE(724); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(383); + lookahead == 'r') ADVANCE(463); if (lookahead == 'S' || - lookahead == 's') ADVANCE(350); + lookahead == 's') ADVANCE(424); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(550); + lookahead == 'u') ADVANCE(665); if (lookahead == 'W' || - lookahead == 'w') ADVANCE(426); + lookahead == 'w') ADVANCE(535); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -4664,50 +6006,92 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(120) + lookahead == 65279) SKIP(173) END_STATE(); - case 121: - if (lookahead == '*') ADVANCE(123); + case 174: + if (lookahead == ')') ADVANCE(891); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(178); + if (lookahead == '/') ADVANCE(175); + if (lookahead == ';') ADVANCE(869); + if (lookahead == 'C') ADVANCE(220); + if (lookahead == '\\') ADVANCE(74); + if (lookahead == 'c') ADVANCE(504); + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(587); + if (lookahead == 'B' || + lookahead == 'b') ADVANCE(375); + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(425); + if (lookahead == 'G' || + lookahead == 'g') ADVANCE(728); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(651); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(695); + if (lookahead == 'P' || + lookahead == 'p') ADVANCE(724); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(463); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(423); + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(665); + if (lookahead == 'W' || + lookahead == 'w') ADVANCE(535); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\f' || + lookahead == '\r' || + lookahead == ' ' || + lookahead == 8203 || + lookahead == 8288 || + lookahead == 65279) SKIP(174) END_STATE(); - case 122: - if (lookahead == '*') ADVANCE(122); - if (lookahead == '/') ADVANCE(1194); - if (lookahead != 0) ADVANCE(123); + case 175: + if (lookahead == '*') ADVANCE(177); END_STATE(); - case 123: - if (lookahead == '*') ADVANCE(122); - if (lookahead != 0) ADVANCE(123); + case 176: + if (lookahead == '*') ADVANCE(176); + if (lookahead == '/') ADVANCE(1415); + if (lookahead != 0) ADVANCE(177); END_STATE(); - case 124: - if (lookahead == '-') ADVANCE(1196); + case 177: + if (lookahead == '*') ADVANCE(176); + if (lookahead != 0) ADVANCE(177); END_STATE(); - case 125: - if (lookahead == '-') ADVANCE(124); - if (lookahead == '/') ADVANCE(121); - if (lookahead == ';') ADVANCE(729); - if (lookahead == '\\') ADVANCE(48); + case 178: + if (lookahead == '-') ADVANCE(1417); + END_STATE(); + case 179: + if (lookahead == '-') ADVANCE(178); + if (lookahead == '/') ADVANCE(175); + if (lookahead == ';') ADVANCE(869); + if (lookahead == '\\') ADVANCE(80); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(481); + lookahead == 'a') ADVANCE(586); if (lookahead == 'B' || - lookahead == 'b') ADVANCE(305); + lookahead == 'b') ADVANCE(375); if (lookahead == 'C' || - lookahead == 'c') ADVANCE(226); + lookahead == 'c') ADVANCE(282); if (lookahead == 'D' || - lookahead == 'd') ADVANCE(599); + lookahead == 'd') ADVANCE(470); if (lookahead == 'G' || - lookahead == 'g') ADVANCE(609); + lookahead == 'g') ADVANCE(728); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(538); + lookahead == 'i') ADVANCE(650); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(566); + lookahead == 'n') ADVANCE(682); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(702); + lookahead == 'o') ADVANCE(834); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(580); + lookahead == 'r') ADVANCE(697); if (lookahead == 'S' || - lookahead == 's') ADVANCE(351); + lookahead == 's') ADVANCE(424); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(584); + lookahead == 'u') ADVANCE(701); + if (lookahead == 'W' || + lookahead == 'w') ADVANCE(535); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -4715,2772 +6099,3209 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(125) - END_STATE(); - case 126: - if (lookahead == '/') ADVANCE(1204); - if (lookahead == '|') ADVANCE(127); + lookahead == 65279) SKIP(179) END_STATE(); - case 127: - if (lookahead == '/') ADVANCE(1205); + case 180: + if (lookahead == '/') ADVANCE(1425); + if (lookahead == '|') ADVANCE(181); END_STATE(); - case 128: - if (lookahead == ':') ADVANCE(1193); + case 181: + if (lookahead == '/') ADVANCE(1426); END_STATE(); - case 129: - if (lookahead == '>') ADVANCE(1190); + case 182: + if (lookahead == ':') ADVANCE(1414); END_STATE(); - case 130: - if (lookahead == 'A') ADVANCE(135); - if (lookahead == 'a') ADVANCE(256); + case 183: + if (lookahead == 'A') ADVANCE(188); + if (lookahead == 'a') ADVANCE(321); if (lookahead == 'E' || - lookahead == 'e') ADVANCE(501); + lookahead == 'e') ADVANCE(607); + if (lookahead == 'H' || + lookahead == 'h') ADVANCE(428); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(504); + lookahead == 'i') ADVANCE(610); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(837); + lookahead == 'o') ADVANCE(915); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(213); + lookahead == 'r') ADVANCE(267); if (lookahead == 'Y' || - lookahead == 'y') ADVANCE(585); + lookahead == 'y') ADVANCE(702); END_STATE(); - case 131: - if (lookahead == 'A') ADVANCE(149); + case 184: + if (lookahead == 'A') ADVANCE(202); END_STATE(); - case 132: - if (lookahead == 'A') ADVANCE(136); + case 185: + if (lookahead == 'A') ADVANCE(189); END_STATE(); - case 133: - if (lookahead == 'A') ADVANCE(137); + case 186: + if (lookahead == 'A') ADVANCE(190); END_STATE(); - case 134: - if (lookahead == 'A') ADVANCE(151); - if (lookahead == 'a') ADVANCE(439); + case 187: + if (lookahead == 'A') ADVANCE(204); + if (lookahead == 'a') ADVANCE(537); END_STATE(); - case 135: - if (lookahead == 'B') ADVANCE(152); - if (lookahead == 'b') ADVANCE(477); + case 188: + if (lookahead == 'B') ADVANCE(205); + if (lookahead == 'b') ADVANCE(582); END_STATE(); - case 136: - if (lookahead == 'B') ADVANCE(153); + case 189: + if (lookahead == 'B') ADVANCE(206); END_STATE(); - case 137: - if (lookahead == 'C') ADVANCE(143); + case 190: + if (lookahead == 'C') ADVANCE(196); END_STATE(); - case 138: - if (lookahead == 'D') ADVANCE(144); + case 191: + if (lookahead == 'D') ADVANCE(197); if (lookahead == 'C' || - lookahead == 'c') ADVANCE(621); + lookahead == 'c') ADVANCE(744); if (lookahead == 'S' || - lookahead == 's') ADVANCE(366); - END_STATE(); - case 139: - if (lookahead == 'E') ADVANCE(184); + lookahead == 's') ADVANCE(442); END_STATE(); - case 140: - if (lookahead == 'E') ADVANCE(160); - if (lookahead == 'e') ADVANCE(560); + case 192: + if (lookahead == 'E') ADVANCE(237); END_STATE(); - case 141: - if (lookahead == 'E') ADVANCE(827); - if (lookahead == 'e') ADVANCE(744); + case 193: + if (lookahead == 'E') ADVANCE(213); + if (lookahead == 'e') ADVANCE(675); END_STATE(); - case 142: - if (lookahead == 'E') ADVANCE(828); + case 194: + if (lookahead == 'E') ADVANCE(979); + if (lookahead == 'e') ADVANCE(901); END_STATE(); - case 143: - if (lookahead == 'E') ADVANCE(830); + case 195: + if (lookahead == 'E') ADVANCE(980); END_STATE(); - case 144: - if (lookahead == 'E') ADVANCE(186); + case 196: + if (lookahead == 'E') ADVANCE(982); END_STATE(); - case 145: - if (lookahead == 'E') ADVANCE(162); + case 197: + if (lookahead == 'E') ADVANCE(239); END_STATE(); - case 146: - if (lookahead == 'I') ADVANCE(139); + case 198: + if (lookahead == 'E') ADVANCE(215); END_STATE(); - case 147: - if (lookahead == 'I') ADVANCE(139); + case 199: + if (lookahead == 'I') ADVANCE(192); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(466); + lookahead == 'a') ADVANCE(568); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(489); + lookahead == 'o') ADVANCE(595); END_STATE(); - case 148: - if (lookahead == 'I') ADVANCE(169); - if (lookahead == 'i') ADVANCE(575); + case 200: + if (lookahead == 'I') ADVANCE(192); + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(719); END_STATE(); - case 149: - if (lookahead == 'I') ADVANCE(163); + case 201: + if (lookahead == 'I') ADVANCE(222); + if (lookahead == 'i') ADVANCE(691); END_STATE(); - case 150: - if (lookahead == 'I') ADVANCE(170); + case 202: + if (lookahead == 'I') ADVANCE(216); END_STATE(); - case 151: - if (lookahead == 'I') ADVANCE(161); - if (lookahead == 'i') ADVANCE(553); + case 203: + if (lookahead == 'I') ADVANCE(223); END_STATE(); - case 152: - if (lookahead == 'L') ADVANCE(141); - if (lookahead == 'l') ADVANCE(319); + case 204: + if (lookahead == 'I') ADVANCE(214); + if (lookahead == 'i') ADVANCE(668); END_STATE(); - case 153: - if (lookahead == 'L') ADVANCE(142); + case 205: + if (lookahead == 'L') ADVANCE(194); + if (lookahead == 'l') ADVANCE(391); END_STATE(); - case 154: - if (lookahead == 'N') ADVANCE(174); - if (lookahead == 'n') ADVANCE(629); + case 206: + if (lookahead == 'L') ADVANCE(195); + END_STATE(); + case 207: + if (lookahead == 'N') ADVANCE(227); + if (lookahead == 'n') ADVANCE(753); if (lookahead == 'L' || - lookahead == 'l') ADVANCE(683); + lookahead == 'l') ADVANCE(814); if (lookahead == 'M' || - lookahead == 'm') ADVANCE(505); + lookahead == 'm') ADVANCE(622); END_STATE(); - case 155: - if (lookahead == 'N') ADVANCE(831); + case 208: + if (lookahead == 'N') ADVANCE(983); END_STATE(); - case 156: - if (lookahead == 'N') ADVANCE(831); - if (lookahead == 'n') ADVANCE(821); + case 209: + if (lookahead == 'N') ADVANCE(983); + if (lookahead == 'n') ADVANCE(973); END_STATE(); - case 157: - if (lookahead == 'N') ADVANCE(176); + case 210: + if (lookahead == 'N') ADVANCE(229); END_STATE(); - case 158: - if (lookahead == 'N') ADVANCE(176); + case 211: + if (lookahead == 'N') ADVANCE(229); if (lookahead == 'M' || - lookahead == 'm') ADVANCE(505); + lookahead == 'm') ADVANCE(622); END_STATE(); - case 159: - if (lookahead == 'N') ADVANCE(138); - if (lookahead == 'n') ADVANCE(288); + case 212: + if (lookahead == 'N') ADVANCE(191); + if (lookahead == 'n') ADVANCE(356); END_STATE(); - case 160: - if (lookahead == 'N') ADVANCE(175); - if (lookahead == 'n') ADVANCE(636); + case 213: + if (lookahead == 'N') ADVANCE(228); + if (lookahead == 'n') ADVANCE(762); END_STATE(); - case 161: - if (lookahead == 'N') ADVANCE(181); - if (lookahead == 'n') ADVANCE(653); + case 214: + if (lookahead == 'N') ADVANCE(234); + if (lookahead == 'n') ADVANCE(782); END_STATE(); - case 162: - if (lookahead == 'N') ADVANCE(177); + case 215: + if (lookahead == 'N') ADVANCE(230); END_STATE(); - case 163: - if (lookahead == 'N') ADVANCE(180); + case 216: + if (lookahead == 'N') ADVANCE(233); END_STATE(); - case 164: - if (lookahead == 'O') ADVANCE(154); - if (lookahead == 'o') ADVANCE(457); + case 217: + if (lookahead == 'O') ADVANCE(207); + if (lookahead == 'o') ADVANCE(559); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(283); + lookahead == 'a') ADVANCE(351); if (lookahead == 'H' || - lookahead == 'h') ADVANCE(352); + lookahead == 'h') ADVANCE(426); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(310); + lookahead == 'r') ADVANCE(380); END_STATE(); - case 165: - if (lookahead == 'O') ADVANCE(158); - if (lookahead == 'o') ADVANCE(503); + case 218: + if (lookahead == 'O') ADVANCE(211); + if (lookahead == 'o') ADVANCE(623); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(634); + lookahead == 'a') ADVANCE(760); if (lookahead == 'H' || - lookahead == 'h') ADVANCE(352); + lookahead == 'h') ADVANCE(426); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(310); + lookahead == 'r') ADVANCE(380); END_STATE(); - case 166: - if (lookahead == 'O') ADVANCE(158); - if (lookahead == 'o') ADVANCE(503); + case 219: + if (lookahead == 'O') ADVANCE(211); + if (lookahead == 'o') ADVANCE(623); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(281); + lookahead == 'a') ADVANCE(349); if (lookahead == 'H' || - lookahead == 'h') ADVANCE(352); + lookahead == 'h') ADVANCE(426); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(310); + lookahead == 'r') ADVANCE(380); END_STATE(); - case 167: - if (lookahead == 'O') ADVANCE(158); - if (lookahead == 'o') ADVANCE(503); + case 220: + if (lookahead == 'O') ADVANCE(211); + if (lookahead == 'o') ADVANCE(623); if (lookahead == 'H' || - lookahead == 'h') ADVANCE(352); + lookahead == 'h') ADVANCE(426); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(310); + lookahead == 'r') ADVANCE(380); END_STATE(); - case 168: - if (lookahead == 'O') ADVANCE(157); + case 221: + if (lookahead == 'O') ADVANCE(210); if (lookahead == 'H' || - lookahead == 'h') ADVANCE(352); + lookahead == 'h') ADVANCE(426); END_STATE(); - case 169: - if (lookahead == 'O') ADVANCE(156); - if (lookahead == 'o') ADVANCE(531); + case 222: + if (lookahead == 'O') ADVANCE(209); + if (lookahead == 'o') ADVANCE(641); END_STATE(); - case 170: - if (lookahead == 'O') ADVANCE(155); + case 223: + if (lookahead == 'O') ADVANCE(208); END_STATE(); - case 171: - if (lookahead == 'P') ADVANCE(133); + case 224: + if (lookahead == 'P') ADVANCE(186); END_STATE(); - case 172: - if (lookahead == 'R') ADVANCE(134); - if (lookahead == 'r') ADVANCE(246); + case 225: + if (lookahead == 'R') ADVANCE(187); + if (lookahead == 'r') ADVANCE(311); END_STATE(); - case 173: - if (lookahead == 'R') ADVANCE(131); + case 226: + if (lookahead == 'R') ADVANCE(184); END_STATE(); - case 174: - if (lookahead == 'S') ADVANCE(179); - if (lookahead == 's') ADVANCE(674); + case 227: + if (lookahead == 'S') ADVANCE(232); + if (lookahead == 's') ADVANCE(806); END_STATE(); - case 175: - if (lookahead == 'S') ADVANCE(148); - if (lookahead == 's') ADVANCE(446); + case 228: + if (lookahead == 'S') ADVANCE(201); + if (lookahead == 's') ADVANCE(544); END_STATE(); - case 176: - if (lookahead == 'S') ADVANCE(182); + case 229: + if (lookahead == 'S') ADVANCE(235); END_STATE(); - case 177: - if (lookahead == 'S') ADVANCE(150); + case 230: + if (lookahead == 'S') ADVANCE(203); END_STATE(); - case 178: - if (lookahead == 'T') ADVANCE(140); - if (lookahead == 't') ADVANCE(365); + case 231: + if (lookahead == 'T') ADVANCE(193); + if (lookahead == 't') ADVANCE(444); if (lookahead == 'C' || - lookahead == 'c') ADVANCE(469); + lookahead == 'c') ADVANCE(571); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(631); + lookahead == 'i') ADVANCE(754); END_STATE(); - case 179: - if (lookahead == 'T') ADVANCE(172); - if (lookahead == 't') ADVANCE(616); + case 232: + if (lookahead == 'T') ADVANCE(225); + if (lookahead == 't') ADVANCE(739); END_STATE(); - case 180: - if (lookahead == 'T') ADVANCE(872); + case 233: + if (lookahead == 'T') ADVANCE(1019); END_STATE(); - case 181: - if (lookahead == 'T') ADVANCE(872); - if (lookahead == 't') ADVANCE(877); + case 234: + if (lookahead == 'T') ADVANCE(1019); + if (lookahead == 't') ADVANCE(1024); END_STATE(); - case 182: - if (lookahead == 'T') ADVANCE(173); + case 235: + if (lookahead == 'T') ADVANCE(226); END_STATE(); - case 183: - if (lookahead == 'T') ADVANCE(145); + case 236: + if (lookahead == 'T') ADVANCE(198); END_STATE(); - case 184: - if (lookahead == 'W') ADVANCE(829); + case 237: + if (lookahead == 'W') ADVANCE(981); END_STATE(); - case 185: - if (lookahead == 'X') ADVANCE(178); - if (lookahead == 'x') ADVANCE(270); + case 238: + if (lookahead == 'X') ADVANCE(231); + if (lookahead == 'x') ADVANCE(336); + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(751); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(358); END_STATE(); - case 186: - if (lookahead == 'X') ADVANCE(832); + case 239: + if (lookahead == 'X') ADVANCE(984); END_STATE(); - case 187: - if (lookahead == 'X') ADVANCE(183); + case 240: + if (lookahead == 'X') ADVANCE(236); END_STATE(); - case 188: - if (lookahead == '_') ADVANCE(435); + case 241: + if (lookahead == '_') ADVANCE(534); END_STATE(); - case 189: - if (lookahead == '|') ADVANCE(1225); + case 242: + if (lookahead == '|') ADVANCE(1446); END_STATE(); - case 190: - if (lookahead == '~') ADVANCE(1221); + case 243: + if (lookahead == '~') ADVANCE(1442); END_STATE(); - case 191: + case 244: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(283); + lookahead == 'a') ADVANCE(351); if (lookahead == 'H' || - lookahead == 'h') ADVANCE(352); + lookahead == 'h') ADVANCE(426); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(457); + lookahead == 'o') ADVANCE(559); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(310); + lookahead == 'r') ADVANCE(380); END_STATE(); - case 192: + case 245: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(256); + lookahead == 'a') ADVANCE(321); if (lookahead == 'E' || - lookahead == 'e') ADVANCE(501); + lookahead == 'e') ADVANCE(607); + if (lookahead == 'H' || + lookahead == 'h') ADVANCE(428); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(504); + lookahead == 'i') ADVANCE(610); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(837); + lookahead == 'o') ADVANCE(915); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(213); + lookahead == 'r') ADVANCE(267); if (lookahead == 'Y' || - lookahead == 'y') ADVANCE(585); + lookahead == 'y') ADVANCE(702); END_STATE(); - case 193: + case 246: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(256); + lookahead == 'a') ADVANCE(321); if (lookahead == 'E' || - lookahead == 'e') ADVANCE(501); + lookahead == 'e') ADVANCE(607); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(837); + lookahead == 'o') ADVANCE(915); if (lookahead == 'Y' || - lookahead == 'y') ADVANCE(585); + lookahead == 'y') ADVANCE(702); END_STATE(); - case 194: + case 247: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(256); + lookahead == 'a') ADVANCE(321); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(694); + lookahead == 'r') ADVANCE(268); END_STATE(); - case 195: + case 248: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(466); + lookahead == 'a') ADVANCE(568); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(489); - END_STATE(); - case 196: - if (lookahead == 'A' || - lookahead == 'a') ADVANCE(542); + lookahead == 'o') ADVANCE(595); END_STATE(); - case 197: + case 249: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(542); + lookahead == 'a') ADVANCE(656); if (lookahead == 'E' || - lookahead == 'e') ADVANCE(392); + lookahead == 'e') ADVANCE(479); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(608); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(333); END_STATE(); - case 198: + case 250: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(542); - if (lookahead == 'E' || - lookahead == 'e') ADVANCE(392); - if (lookahead == 'O' || - lookahead == 'o') ADVANCE(268); + lookahead == 'a') ADVANCE(798); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(674); END_STATE(); - case 199: + case 251: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(258); + lookahead == 'a') ADVANCE(323); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(440); + lookahead == 'r') ADVANCE(538); END_STATE(); - case 200: + case 252: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(823); + lookahead == 'a') ADVANCE(975); END_STATE(); - case 201: + case 253: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(282); + lookahead == 'a') ADVANCE(350); if (lookahead == 'H' || - lookahead == 'h') ADVANCE(352); + lookahead == 'h') ADVANCE(426); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(503); + lookahead == 'o') ADVANCE(623); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(310); - END_STATE(); - case 202: - if (lookahead == 'A' || - lookahead == 'a') ADVANCE(704); - if (lookahead == 'I' || - lookahead == 'i') ADVANCE(559); + lookahead == 'r') ADVANCE(380); END_STATE(); - case 203: + case 254: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(254); + lookahead == 'a') ADVANCE(319); END_STATE(); - case 204: + case 255: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(464); + lookahead == 'a') ADVANCE(594); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(723); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(686); + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(573); END_STATE(); - case 205: + case 256: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(464); + lookahead == 'a') ADVANCE(594); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(603); + lookahead == 'o') ADVANCE(723); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(571); + lookahead == 'r') ADVANCE(686); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(471); + lookahead == 'u') ADVANCE(572); END_STATE(); - case 206: + case 257: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(658); + lookahead == 'a') ADVANCE(787); if (lookahead == 'E' || - lookahead == 'e') ADVANCE(391); + lookahead == 'e') ADVANCE(474); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(627); + lookahead == 'i') ADVANCE(750); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(502); + lookahead == 'o') ADVANCE(609); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(569); + lookahead == 'r') ADVANCE(684); END_STATE(); - case 207: + case 258: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(393); - if (lookahead == 'C' || - lookahead == 'c') ADVANCE(420); - if (lookahead == 'E' || - lookahead == 'e') ADVANCE(490); - if (lookahead == 'T' || - lookahead == 't') ADVANCE(199); + lookahead == 'a') ADVANCE(720); END_STATE(); - case 208: + case 259: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(393); - if (lookahead == 'C' || - lookahead == 'c') ADVANCE(420); - if (lookahead == 'E' || - lookahead == 'e') ADVANCE(491); - if (lookahead == 'T' || - lookahead == 't') ADVANCE(199); + lookahead == 'a') ADVANCE(720); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(435); + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(318); END_STATE(); - case 209: + case 260: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(720); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(540); + END_STATE(); + case 261: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(720); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(434); + END_STATE(); + case 262: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(393); + lookahead == 'a') ADVANCE(484); + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(509); if (lookahead == 'E' || - lookahead == 'e') ADVANCE(492); + lookahead == 'e') ADVANCE(596); if (lookahead == 'T' || lookahead == 't') ADVANCE(251); END_STATE(); - case 210: + case 263: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(601); + lookahead == 'a') ADVANCE(484); + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(509); + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(597); + if (lookahead == 'T' || + lookahead == 't') ADVANCE(251); END_STATE(); - case 211: + case 264: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(601); - if (lookahead == 'R' || - lookahead == 'r') ADVANCE(422); - if (lookahead == 'U' || - lookahead == 'u') ADVANCE(253); + lookahead == 'a') ADVANCE(484); + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(597); + if (lookahead == 'T' || + lookahead == 't') ADVANCE(316); END_STATE(); - case 212: + case 265: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(601); - if (lookahead == 'R' || - lookahead == 'r') ADVANCE(443); + lookahead == 'a') ADVANCE(484); + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(598); + if (lookahead == 'T' || + lookahead == 't') ADVANCE(251); END_STATE(); - case 213: + case 266: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(484); + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(598); + if (lookahead == 'T' || + lookahead == 't') ADVANCE(316); + END_STATE(); + case 267: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(543); + lookahead == 'a') ADVANCE(654); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(410); + lookahead == 'i') ADVANCE(500); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(313); + lookahead == 'u') ADVANCE(385); END_STATE(); - case 214: + case 268: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(405); - if (lookahead == 'I' || - lookahead == 'i') ADVANCE(544); + lookahead == 'a') ADVANCE(654); + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(384); END_STATE(); - case 215: + case 269: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(548); + lookahead == 'a') ADVANCE(495); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(657); END_STATE(); - case 216: + case 270: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(548); - if (lookahead == 'O' || - lookahead == 'o') ADVANCE(682); + lookahead == 'a') ADVANCE(663); END_STATE(); - case 217: + case 271: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(299); + lookahead == 'a') ADVANCE(663); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(813); END_STATE(); - case 218: + case 272: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(685); + lookahead == 'a') ADVANCE(816); END_STATE(); - case 219: + case 273: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(685); + lookahead == 'a') ADVANCE(816); if (lookahead == 'E' || - lookahead == 'e') ADVANCE(604); + lookahead == 'e') ADVANCE(725); END_STATE(); - case 220: + case 274: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(685); + lookahead == 'a') ADVANCE(816); if (lookahead == 'E' || - lookahead == 'e') ADVANCE(615); + lookahead == 'e') ADVANCE(738); END_STATE(); - case 221: + case 275: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(462); + lookahead == 'a') ADVANCE(369); END_STATE(); - case 222: + case 276: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(667); + lookahead == 'a') ADVANCE(373); END_STATE(); - case 223: + case 277: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(634); + lookahead == 'a') ADVANCE(760); if (lookahead == 'H' || - lookahead == 'h') ADVANCE(352); + lookahead == 'h') ADVANCE(426); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(503); + lookahead == 'o') ADVANCE(623); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(310); + lookahead == 'r') ADVANCE(380); END_STATE(); - case 224: + case 278: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(495); + lookahead == 'a') ADVANCE(564); END_STATE(); - case 225: + case 279: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(281); + lookahead == 'a') ADVANCE(799); + END_STATE(); + case 280: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(601); + END_STATE(); + case 281: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(349); if (lookahead == 'H' || - lookahead == 'h') ADVANCE(352); + lookahead == 'h') ADVANCE(426); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(503); + lookahead == 'o') ADVANCE(623); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(310); + lookahead == 'r') ADVANCE(380); END_STATE(); - case 226: + case 282: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(281); + lookahead == 'a') ADVANCE(349); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(503); + lookahead == 'o') ADVANCE(623); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(310); + lookahead == 'r') ADVANCE(380); END_STATE(); - case 227: + case 283: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(597); + lookahead == 'a') ADVANCE(565); END_STATE(); - case 228: + case 284: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(600); + lookahead == 'a') ADVANCE(714); END_STATE(); - case 229: + case 285: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(633); + lookahead == 'a') ADVANCE(576); END_STATE(); - case 230: + case 286: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(395); + lookahead == 'a') ADVANCE(717); END_STATE(); - case 231: + case 287: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(267); + lookahead == 'a') ADVANCE(719); END_STATE(); - case 232: + case 288: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(719); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(595); + END_STATE(); + case 289: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(496); + lookahead == 'a') ADVANCE(655); END_STATE(); - case 233: + case 290: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(303); + lookahead == 'a') ADVANCE(655); + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(479); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(608); END_STATE(); - case 234: + case 291: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(607); + lookahead == 'a') ADVANCE(655); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(608); END_STATE(); - case 235: + case 292: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(465); - if (lookahead == 'O' || - lookahead == 'o') ADVANCE(489); + lookahead == 'a') ADVANCE(620); END_STATE(); - case 236: + case 293: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(473); + lookahead == 'a') ADVANCE(332); END_STATE(); - case 237: + case 294: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(677); + lookahead == 'a') ADVANCE(602); END_STATE(); - case 238: + case 295: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(407); + lookahead == 'a') ADVANCE(485); END_STATE(); - case 239: + case 296: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(280); + lookahead == 'a') ADVANCE(727); END_STATE(); - case 240: + case 297: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(669); + lookahead == 'a') ADVANCE(567); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(595); END_STATE(); - case 241: + case 298: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(434); + lookahead == 'a') ADVANCE(577); END_STATE(); - case 242: + case 299: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(670); + lookahead == 'a') ADVANCE(808); END_STATE(); - case 243: + case 300: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(468); + lookahead == 'a') ADVANCE(497); + END_STATE(); + case 301: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(348); + END_STATE(); + case 302: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(801); + END_STATE(); + case 303: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(759); + END_STATE(); + case 304: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(570); if (lookahead == 'H' || - lookahead == 'h') ADVANCE(352); + lookahead == 'h') ADVANCE(426); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(457); + lookahead == 'o') ADVANCE(559); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(310); + lookahead == 'r') ADVANCE(380); END_STATE(); - case 244: + case 305: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(468); + lookahead == 'a') ADVANCE(570); if (lookahead == 'H' || - lookahead == 'h') ADVANCE(352); + lookahead == 'h') ADVANCE(426); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(503); + lookahead == 'o') ADVANCE(623); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(310); + lookahead == 'r') ADVANCE(380); END_STATE(); - case 245: + case 306: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(671); + lookahead == 'a') ADVANCE(570); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(623); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(380); END_STATE(); - case 246: + case 307: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(439); + lookahead == 'a') ADVANCE(802); END_STATE(); - case 247: + case 308: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(259); + lookahead == 'a') ADVANCE(531); END_STATE(); - case 248: + case 309: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(497); + lookahead == 'a') ADVANCE(803); END_STATE(); - case 249: + case 310: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(260); + lookahead == 'a') ADVANCE(797); END_STATE(); - case 250: + case 311: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(537); + END_STATE(); + case 312: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(324); + END_STATE(); + case 313: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(603); + END_STATE(); + case 314: + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(325); + END_STATE(); + case 315: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(260); + lookahead == 'a') ADVANCE(325); if (lookahead == 'E' || - lookahead == 'e') ADVANCE(296); + lookahead == 'e') ADVANCE(363); END_STATE(); - case 251: + case 316: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(257); + lookahead == 'a') ADVANCE(322); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(440); + lookahead == 'r') ADVANCE(538); END_STATE(); - case 252: + case 317: if (lookahead == 'A' || - lookahead == 'a') ADVANCE(289); + lookahead == 'a') ADVANCE(357); END_STATE(); - case 253: + case 318: if (lookahead == 'B' || - lookahead == 'b') ADVANCE(494); + lookahead == 'b') ADVANCE(600); END_STATE(); - case 254: + case 319: if (lookahead == 'B' || - lookahead == 'b') ADVANCE(229); + lookahead == 'b') ADVANCE(303); END_STATE(); - case 255: + case 320: if (lookahead == 'B' || - lookahead == 'b') ADVANCE(231); + lookahead == 'b') ADVANCE(293); END_STATE(); - case 256: + case 321: if (lookahead == 'B' || - lookahead == 'b') ADVANCE(477); + lookahead == 'b') ADVANCE(582); END_STATE(); - case 257: + case 322: if (lookahead == 'B' || - lookahead == 'b') ADVANCE(483); + lookahead == 'b') ADVANCE(588); END_STATE(); - case 258: + case 323: if (lookahead == 'B' || - lookahead == 'b') ADVANCE(483); + lookahead == 'b') ADVANCE(588); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(644); + lookahead == 'r') ADVANCE(772); END_STATE(); - case 259: + case 324: if (lookahead == 'B' || - lookahead == 'b') ADVANCE(487); + lookahead == 'b') ADVANCE(592); END_STATE(); - case 260: + case 325: if (lookahead == 'B' || - lookahead == 'b') ADVANCE(488); + lookahead == 'b') ADVANCE(593); END_STATE(); - case 261: + case 326: if (lookahead == 'C' || - lookahead == 'c') ADVANCE(867); + lookahead == 'c') ADVANCE(1017); END_STATE(); - case 262: + case 327: if (lookahead == 'C' || - lookahead == 'c') ADVANCE(857); + lookahead == 'c') ADVANCE(1007); END_STATE(); - case 263: + case 328: if (lookahead == 'C' || - lookahead == 'c') ADVANCE(815); + lookahead == 'c') ADVANCE(970); END_STATE(); - case 264: + case 329: if (lookahead == 'C' || - lookahead == 'c') ADVANCE(865); + lookahead == 'c') ADVANCE(1015); END_STATE(); - case 265: + case 330: if (lookahead == 'C' || - lookahead == 'c') ADVANCE(498); + lookahead == 'c') ADVANCE(604); if (lookahead == 'D' || - lookahead == 'd') ADVANCE(360); + lookahead == 'd') ADVANCE(429); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(675); + lookahead == 'i') ADVANCE(793); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(363); + lookahead == 'n') ADVANCE(440); if (lookahead == 'P' || - lookahead == 'p') ADVANCE(691); + lookahead == 'p') ADVANCE(822); if (lookahead == 'S' || - lookahead == 's') ADVANCE(366); + lookahead == 's') ADVANCE(442); if (lookahead == 'T' || - lookahead == 't') ADVANCE(565); + lookahead == 't') ADVANCE(681); END_STATE(); - case 266: + case 331: if (lookahead == 'C' || - lookahead == 'c') ADVANCE(455); + lookahead == 'c') ADVANCE(555); END_STATE(); - case 267: + case 332: if (lookahead == 'C' || - lookahead == 'c') ADVANCE(456); + lookahead == 'c') ADVANCE(556); END_STATE(); - case 268: + case 333: if (lookahead == 'C' || - lookahead == 'c') ADVANCE(221); + lookahead == 'c') ADVANCE(278); END_STATE(); - case 269: + case 334: + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(815); + if (lookahead == 'F' || + lookahead == 'f') ADVANCE(456); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(292); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(795); + if (lookahead == 'T' || + lookahead == 't') ADVANCE(817); + END_STATE(); + case 335: if (lookahead == 'C' || - lookahead == 'c') ADVANCE(469); + lookahead == 'c') ADVANCE(571); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(754); END_STATE(); - case 270: + case 336: if (lookahead == 'C' || - lookahead == 'c') ADVANCE(469); + lookahead == 'c') ADVANCE(571); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(631); + lookahead == 'i') ADVANCE(754); if (lookahead == 'T' || - lookahead == 't') ADVANCE(365); + lookahead == 't') ADVANCE(444); END_STATE(); - case 271: + case 337: if (lookahead == 'C' || - lookahead == 'c') ADVANCE(469); + lookahead == 'c') ADVANCE(571); if (lookahead == 'T' || - lookahead == 't') ADVANCE(365); + lookahead == 't') ADVANCE(444); END_STATE(); - case 272: + case 338: if (lookahead == 'C' || - lookahead == 'c') ADVANCE(217); + lookahead == 'c') ADVANCE(553); END_STATE(); - case 273: + case 339: if (lookahead == 'C' || - lookahead == 'c') ADVANCE(647); + lookahead == 'c') ADVANCE(275); END_STATE(); - case 274: + case 340: if (lookahead == 'C' || - lookahead == 'c') ADVANCE(648); + lookahead == 'c') ADVANCE(275); + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(1061); END_STATE(); - case 275: + case 341: if (lookahead == 'C' || - lookahead == 'c') ADVANCE(651); + lookahead == 'c') ADVANCE(776); END_STATE(); - case 276: + case 342: if (lookahead == 'C' || - lookahead == 'c') ADVANCE(652); + lookahead == 'c') ADVANCE(777); END_STATE(); - case 277: + case 343: if (lookahead == 'C' || - lookahead == 'c') ADVANCE(655); + lookahead == 'c') ADVANCE(780); END_STATE(); - case 278: + case 344: if (lookahead == 'C' || - lookahead == 'c') ADVANCE(337); + lookahead == 'c') ADVANCE(781); END_STATE(); - case 279: + case 345: if (lookahead == 'C' || - lookahead == 'c') ADVANCE(370); + lookahead == 'c') ADVANCE(784); END_STATE(); - case 280: + case 346: if (lookahead == 'C' || - lookahead == 'c') ADVANCE(349); + lookahead == 'c') ADVANCE(410); END_STATE(); - case 281: + case 347: if (lookahead == 'C' || - lookahead == 'c') ADVANCE(421); + lookahead == 'c') ADVANCE(446); END_STATE(); - case 282: + case 348: + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(422); + END_STATE(); + case 349: + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(510); + END_STATE(); + case 350: if (lookahead == 'C' || - lookahead == 'c') ADVANCE(421); + lookahead == 'c') ADVANCE(510); if (lookahead == 'L' || - lookahead == 'l') ADVANCE(475); + lookahead == 'l') ADVANCE(581); END_STATE(); - case 283: + case 351: if (lookahead == 'C' || - lookahead == 'c') ADVANCE(421); + lookahead == 'c') ADVANCE(510); if (lookahead == 'L' || - lookahead == 'l') ADVANCE(475); + lookahead == 'l') ADVANCE(581); if (lookahead == 'S' || - lookahead == 's') ADVANCE(272); + lookahead == 's') ADVANCE(340); END_STATE(); - case 284: + case 352: if (lookahead == 'C' || - lookahead == 'c') ADVANCE(611); + lookahead == 'c') ADVANCE(731); END_STATE(); - case 285: + case 353: if (lookahead == 'C' || - lookahead == 'c') ADVANCE(673); + lookahead == 'c') ADVANCE(805); END_STATE(); - case 286: + case 354: if (lookahead == 'C' || - lookahead == 'c') ADVANCE(242); + lookahead == 'c') ADVANCE(307); END_STATE(); - case 287: + case 355: if (lookahead == 'C' || - lookahead == 'c') ADVANCE(678); + lookahead == 'c') ADVANCE(809); END_STATE(); - case 288: + case 356: if (lookahead == 'C' || - lookahead == 'c') ADVANCE(621); + lookahead == 'c') ADVANCE(744); if (lookahead == 'S' || - lookahead == 's') ADVANCE(366); + lookahead == 's') ADVANCE(442); END_STATE(); - case 289: + case 357: if (lookahead == 'C' || - lookahead == 'c') ADVANCE(679); + lookahead == 'c') ADVANCE(810); END_STATE(); - case 290: + case 358: if (lookahead == 'D' || - lookahead == 'd') ADVANCE(292); - if (lookahead == 'L' || - lookahead == 'l') ADVANCE(458); - if (lookahead == 'N' || - lookahead == 'n') ADVANCE(293); - if (lookahead == 'S' || - lookahead == 's') ADVANCE(760); - if (lookahead == 'U' || - lookahead == 'u') ADVANCE(662); + lookahead == 'd') ADVANCE(1066); END_STATE(); - case 291: + case 359: if (lookahead == 'D' || - lookahead == 'd') ADVANCE(292); - if (lookahead == 'L' || - lookahead == 'l') ADVANCE(664); - if (lookahead == 'S' || - lookahead == 's') ADVANCE(760); + lookahead == 'd') ADVANCE(913); END_STATE(); - case 292: + case 360: if (lookahead == 'D' || - lookahead == 'd') ADVANCE(755); + lookahead == 'd') ADVANCE(1073); END_STATE(); - case 293: + case 361: if (lookahead == 'D' || - lookahead == 'd') ADVANCE(916); + lookahead == 'd') ADVANCE(926); END_STATE(); - case 294: + case 362: if (lookahead == 'D' || - lookahead == 'd') ADVANCE(773); + lookahead == 'd') ADVANCE(945); END_STATE(); - case 295: + case 363: if (lookahead == 'D' || - lookahead == 'd') ADVANCE(792); + lookahead == 'd') ADVANCE(1022); END_STATE(); - case 296: + case 364: if (lookahead == 'D' || - lookahead == 'd') ADVANCE(875); + lookahead == 'd') ADVANCE(944); END_STATE(); - case 297: + case 365: if (lookahead == 'D' || - lookahead == 'd') ADVANCE(791); + lookahead == 'd') ADVANCE(889); END_STATE(); - case 298: + case 366: if (lookahead == 'D' || - lookahead == 'd') ADVANCE(453); + lookahead == 'd') ADVANCE(359); + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(560); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(360); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(885); + if (lookahead == 'T' || + lookahead == 't') ADVANCE(1079); + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(791); END_STATE(); - case 299: + case 367: if (lookahead == 'D' || - lookahead == 'd') ADVANCE(329); + lookahead == 'd') ADVANCE(359); + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(794); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(885); END_STATE(); - case 300: + case 368: if (lookahead == 'D' || - lookahead == 'd') ADVANCE(330); + lookahead == 'd') ADVANCE(552); END_STATE(); - case 301: + case 369: if (lookahead == 'D' || - lookahead == 'd') ADVANCE(360); + lookahead == 'd') ADVANCE(402); + END_STATE(); + case 370: + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(403); + END_STATE(); + case 371: + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(429); if (lookahead == 'S' || - lookahead == 's') ADVANCE(366); + lookahead == 's') ADVANCE(442); END_STATE(); - case 302: + case 372: if (lookahead == 'D' || - lookahead == 'd') ADVANCE(348); + lookahead == 'd') ADVANCE(421); END_STATE(); - case 303: + case 373: if (lookahead == 'D' || - lookahead == 'd') ADVANCE(431); + lookahead == 'd') ADVANCE(526); END_STATE(); - case 304: + case 374: if (lookahead == 'D' || - lookahead == 'd') ADVANCE(240); + lookahead == 'd') ADVANCE(302); END_STATE(); - case 305: + case 375: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(409); + lookahead == 'e') ADVANCE(499); END_STATE(); - case 306: + case 376: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(409); + lookahead == 'e') ADVANCE(499); if (lookahead == 'Y' || - lookahead == 'y') ADVANCE(767); + lookahead == 'y') ADVANCE(920); END_STATE(); - case 307: + case 377: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(709); + lookahead == 'e') ADVANCE(838); END_STATE(); - case 308: + case 378: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(392); + lookahead == 'e') ADVANCE(479); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(608); END_STATE(); - case 309: + case 379: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(399); + lookahead == 'e') ADVANCE(334); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(402); + lookahead == 'i') ADVANCE(492); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(459); + lookahead == 'o') ADVANCE(561); END_STATE(); - case 310: + case 380: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(222); + lookahead == 'e') ADVANCE(279); END_STATE(); - case 311: + case 381: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(870); + lookahead == 'e') ADVANCE(633); END_STATE(); - case 312: + case 382: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(922); + lookahead == 'e') ADVANCE(1065); END_STATE(); - case 313: + case 383: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(922); - if (lookahead == 'N' || - lookahead == 'n') ADVANCE(286); + lookahead == 'e') ADVANCE(1081); END_STATE(); - case 314: + case 384: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(861); + lookahead == 'e') ADVANCE(1083); END_STATE(); - case 315: + case 385: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(822); - if (lookahead == 'L' || - lookahead == 'l') ADVANCE(255); + lookahead == 'e') ADVANCE(1083); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(354); END_STATE(); - case 316: + case 386: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(789); + lookahead == 'e') ADVANCE(1011); END_STATE(); - case 317: + case 387: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(871); + lookahead == 'e') ADVANCE(974); + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(320); END_STATE(); - case 318: + case 388: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(772); + lookahead == 'e') ADVANCE(942); END_STATE(); - case 319: + case 389: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(744); + lookahead == 'e') ADVANCE(1082); END_STATE(); - case 320: + case 390: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(924); + lookahead == 'e') ADVANCE(925); END_STATE(); - case 321: + case 391: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(852); + lookahead == 'e') ADVANCE(901); END_STATE(); - case 322: + case 392: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(893); + lookahead == 'e') ADVANCE(1085); END_STATE(); - case 323: + case 393: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(738); + lookahead == 'e') ADVANCE(1002); END_STATE(); - case 324: + case 394: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(848); + lookahead == 'e') ADVANCE(1043); END_STATE(); - case 325: + case 395: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(787); + lookahead == 'e') ADVANCE(895); END_STATE(); - case 326: + case 396: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(887); + lookahead == 'e') ADVANCE(997); END_STATE(); - case 327: + case 397: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(790); + lookahead == 'e') ADVANCE(914); END_STATE(); - case 328: + case 398: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(846); + lookahead == 'e') ADVANCE(940); END_STATE(); - case 329: + case 399: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(912); + lookahead == 'e') ADVANCE(1034); END_STATE(); - case 330: + case 400: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(881); + lookahead == 'e') ADVANCE(943); END_STATE(); - case 331: + case 401: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(849); + lookahead == 'e') ADVANCE(995); END_STATE(); - case 332: + case 402: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(785); + lookahead == 'e') ADVANCE(1069); END_STATE(); - case 333: + case 403: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(853); + lookahead == 'e') ADVANCE(1028); END_STATE(); - case 334: + case 404: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(798); + lookahead == 'e') ADVANCE(999); END_STATE(); - case 335: + case 405: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(771); + lookahead == 'e') ADVANCE(938); END_STATE(); - case 336: + case 406: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(770); + lookahead == 'e') ADVANCE(1003); END_STATE(); - case 337: + case 407: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(756); + lookahead == 'e') ADVANCE(952); END_STATE(); - case 338: + case 408: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(876); + lookahead == 'e') ADVANCE(924); END_STATE(); - case 339: + case 409: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(786); + lookahead == 'e') ADVANCE(923); END_STATE(); - case 340: + case 410: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(873); + lookahead == 'e') ADVANCE(916); END_STATE(); - case 341: + case 411: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(394); + lookahead == 'e') ADVANCE(1023); END_STATE(); - case 342: + case 412: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(394); - if (lookahead == 'I' || - lookahead == 'i') ADVANCE(627); - if (lookahead == 'R' || - lookahead == 'r') ADVANCE(569); + lookahead == 'e') ADVANCE(939); END_STATE(); - case 343: + case 413: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(394); - if (lookahead == 'R' || - lookahead == 'r') ADVANCE(569); + lookahead == 'e') ADVANCE(882); END_STATE(); - case 344: + case 414: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(491); + lookahead == 'e') ADVANCE(1020); END_STATE(); - case 345: + case 415: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(637); + lookahead == 'e') ADVANCE(764); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(402); + lookahead == 'i') ADVANCE(492); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(459); + lookahead == 'o') ADVANCE(578); END_STATE(); - case 346: + case 416: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(637); - if (lookahead == 'I' || - lookahead == 'i') ADVANCE(402); + lookahead == 'e') ADVANCE(764); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(474); + lookahead == 'o') ADVANCE(578); END_STATE(); - case 347: + case 417: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(637); + lookahead == 'e') ADVANCE(483); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(474); + lookahead == 'o') ADVANCE(578); END_STATE(); - case 348: + case 418: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(862); + lookahead == 'e') ADVANCE(487); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(609); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(684); END_STATE(); - case 349: + case 419: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(782); + lookahead == 'e') ADVANCE(487); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(684); END_STATE(); - case 350: + case 420: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(492); + lookahead == 'e') ADVANCE(658); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(492); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(561); END_STATE(); - case 351: + case 421: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(492); - if (lookahead == 'T' || - lookahead == 't') ADVANCE(234); + lookahead == 'e') ADVANCE(1012); END_STATE(); - case 352: + case 422: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(266); + lookahead == 'e') ADVANCE(935); END_STATE(); - case 353: + case 423: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(400); - if (lookahead == 'O' || - lookahead == 'o') ADVANCE(474); + lookahead == 'e') ADVANCE(598); END_STATE(); - case 354: + case 424: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(598); + if (lookahead == 'T' || + lookahead == 't') ADVANCE(296); + END_STATE(); + case 425: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(397); + lookahead == 'e') ADVANCE(480); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(569); + lookahead == 'r') ADVANCE(684); END_STATE(); - case 355: + case 426: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(298); - if (lookahead == 'U' || - lookahead == 'u') ADVANCE(666); + lookahead == 'e') ADVANCE(331); END_STATE(); - case 356: + case 427: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(590); + lookahead == 'e') ADVANCE(481); END_STATE(); - case 357: + case 428: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(586); + lookahead == 'e') ADVANCE(631); END_STATE(); - case 358: + case 429: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(294); + lookahead == 'e') ADVANCE(837); END_STATE(); - case 359: + case 430: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(273); + lookahead == 'e') ADVANCE(707); END_STATE(); - case 360: + case 431: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(706); + lookahead == 'e') ADVANCE(368); + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(796); END_STATE(); - case 361: + case 432: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(295); + lookahead == 'e') ADVANCE(703); END_STATE(); - case 362: + case 433: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(623); + lookahead == 'e') ADVANCE(523); END_STATE(); - case 363: + case 434: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(591); + lookahead == 'e') ADVANCE(338); END_STATE(); - case 364: + case 435: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(429); + lookahead == 'e') ADVANCE(338); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(618); END_STATE(); - case 365: + case 436: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(560); + lookahead == 'e') ADVANCE(338); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(617); END_STATE(); - case 366: + case 437: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(608); + lookahead == 'e') ADVANCE(746); END_STATE(); - case 367: + case 438: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(625); + lookahead == 'e') ADVANCE(361); END_STATE(); - case 368: + case 439: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(592); + lookahead == 'e') ADVANCE(341); END_STATE(); - case 369: + case 440: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(297); + lookahead == 'e') ADVANCE(708); END_STATE(); - case 370: + case 441: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(626); + lookahead == 'e') ADVANCE(362); END_STATE(); - case 371: + case 442: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(593); + lookahead == 'e') ADVANCE(729); END_STATE(); - case 372: + case 443: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(463); + lookahead == 'e') ADVANCE(748); END_STATE(); - case 373: + case 444: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(546); + lookahead == 'e') ADVANCE(675); END_STATE(); - case 374: + case 445: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(594); + lookahead == 'e') ADVANCE(721); END_STATE(); - case 375: + case 446: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(615); + lookahead == 'e') ADVANCE(749); END_STATE(); - case 376: + case 447: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(610); + lookahead == 'e') ADVANCE(709); END_STATE(); - case 377: + case 448: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(514); + lookahead == 'e') ADVANCE(364); END_STATE(); - case 378: + case 449: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(513); + lookahead == 'e') ADVANCE(365); END_STATE(); - case 379: + case 450: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(668); + lookahead == 'e') ADVANCE(710); END_STATE(); - case 380: + case 451: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(557); + lookahead == 'e') ADVANCE(566); END_STATE(); - case 381: + case 452: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(614); + lookahead == 'e') ADVANCE(661); END_STATE(); - case 382: + case 453: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(398); + lookahead == 'e') ADVANCE(711); END_STATE(); - case 383: + case 454: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(398); - if (lookahead == 'O' || - lookahead == 'o') ADVANCE(474); + lookahead == 'e') ADVANCE(775); END_STATE(); - case 384: + case 455: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(554); + lookahead == 'e') ADVANCE(738); END_STATE(); - case 385: + case 456: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(396); + lookahead == 'e') ADVANCE(737); END_STATE(); - case 386: + case 457: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(736); + END_STATE(); + case 458: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(619); + END_STATE(); + case 459: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(800); + END_STATE(); + case 460: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(672); + END_STATE(); + case 461: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(621); + END_STATE(); + case 462: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(396); + lookahead == 'e') ADVANCE(482); + END_STATE(); + case 463: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(482); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(502); + lookahead == 'o') ADVANCE(578); + END_STATE(); + case 464: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(669); + END_STATE(); + case 465: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(730); + END_STATE(); + case 466: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(486); + END_STATE(); + case 467: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(498); + END_STATE(); + case 468: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(670); + END_STATE(); + case 469: + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(575); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(750); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(569); + lookahead == 'r') ADVANCE(684); END_STATE(); - case 387: + case 470: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(408); + lookahead == 'e') ADVANCE(575); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(684); END_STATE(); - case 388: + case 471: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(555); + lookahead == 'e') ADVANCE(488); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(684); END_STATE(); - case 389: + case 472: if (lookahead == 'E' || - lookahead == 'e') ADVANCE(515); + lookahead == 'e') ADVANCE(624); END_STATE(); - case 390: + case 473: if (lookahead == 'F' || - lookahead == 'f') ADVANCE(746); + lookahead == 'f') ADVANCE(903); if (lookahead == 'M' || - lookahead == 'm') ADVANCE(499); + lookahead == 'm') ADVANCE(605); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(802); + lookahead == 'n') ADVANCE(956); if (lookahead == 'S' || - lookahead == 's') ADVANCE(913); + lookahead == 's') ADVANCE(1070); END_STATE(); - case 391: + case 474: if (lookahead == 'F' || - lookahead == 'f') ADVANCE(219); + lookahead == 'f') ADVANCE(273); if (lookahead == 'L' || - lookahead == 'l') ADVANCE(379); + lookahead == 'l') ADVANCE(459); if (lookahead == 'S' || - lookahead == 's') ADVANCE(261); + lookahead == 's') ADVANCE(326); END_STATE(); - case 392: + case 475: if (lookahead == 'F' || - lookahead == 'f') ADVANCE(640); + lookahead == 'f') ADVANCE(489); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(946); + if (lookahead == 'P' || + lookahead == 'p') ADVANCE(788); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(1076); + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(766); + if (lookahead == 'W' || + lookahead == 'w') ADVANCE(666); END_STATE(); - case 393: + case 476: if (lookahead == 'F' || - lookahead == 'f') ADVANCE(316); + lookahead == 'f') ADVANCE(489); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(946); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(1076); + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(804); END_STATE(); - case 394: + case 477: if (lookahead == 'F' || - lookahead == 'f') ADVANCE(218); - if (lookahead == 'S' || - lookahead == 's') ADVANCE(261); + lookahead == 'f') ADVANCE(489); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(1076); END_STATE(); - case 395: + case 478: if (lookahead == 'F' || - lookahead == 'f') ADVANCE(327); + lookahead == 'f') ADVANCE(489); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(1075); END_STATE(); - case 396: + case 479: if (lookahead == 'F' || - lookahead == 'f') ADVANCE(375); + lookahead == 'f') ADVANCE(767); END_STATE(); - case 397: + case 480: if (lookahead == 'F' || - lookahead == 'f') ADVANCE(220); + lookahead == 'f') ADVANCE(272); + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(459); if (lookahead == 'S' || - lookahead == 's') ADVANCE(261); + lookahead == 's') ADVANCE(326); END_STATE(); - case 398: + case 481: if (lookahead == 'F' || - lookahead == 'f') ADVANCE(381); + lookahead == 'f') ADVANCE(272); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(326); END_STATE(); - case 399: + case 482: + if (lookahead == 'F' || + lookahead == 'f') ADVANCE(456); + END_STATE(); + case 483: if (lookahead == 'F' || - lookahead == 'f') ADVANCE(381); + lookahead == 'f') ADVANCE(456); if (lookahead == 'S' || - lookahead == 's') ADVANCE(665); - if (lookahead == 'T' || - lookahead == 't') ADVANCE(684); + lookahead == 's') ADVANCE(812); END_STATE(); - case 400: + case 484: + if (lookahead == 'F' || + lookahead == 'f') ADVANCE(388); + END_STATE(); + case 485: + if (lookahead == 'F' || + lookahead == 'f') ADVANCE(400); + END_STATE(); + case 486: + if (lookahead == 'F' || + lookahead == 'f') ADVANCE(455); + END_STATE(); + case 487: + if (lookahead == 'F' || + lookahead == 'f') ADVANCE(455); + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(459); + END_STATE(); + case 488: if (lookahead == 'F' || - lookahead == 'f') ADVANCE(381); + lookahead == 'f') ADVANCE(274); + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(459); if (lookahead == 'S' || - lookahead == 's') ADVANCE(680); + lookahead == 's') ADVANCE(326); END_STATE(); - case 401: + case 489: + if (lookahead == 'F' || + lookahead == 'f') ADVANCE(758); + END_STATE(); + case 490: if (lookahead == 'G' || - lookahead == 'g') ADVANCE(883); + lookahead == 'g') ADVANCE(1030); END_STATE(); - case 402: + case 491: if (lookahead == 'G' || - lookahead == 'g') ADVANCE(419); + lookahead == 'g') ADVANCE(1409); END_STATE(); - case 403: + case 492: if (lookahead == 'G' || - lookahead == 'g') ADVANCE(687); + lookahead == 'g') ADVANCE(508); END_STATE(); - case 404: + case 493: if (lookahead == 'G' || - lookahead == 'g') ADVANCE(528); + lookahead == 'g') ADVANCE(819); END_STATE(); - case 405: + case 494: if (lookahead == 'G' || - lookahead == 'g') ADVANCE(321); + lookahead == 'g') ADVANCE(638); END_STATE(); - case 406: + case 495: if (lookahead == 'G' || - lookahead == 'g') ADVANCE(371); + lookahead == 'g') ADVANCE(393); END_STATE(); - case 407: + case 496: if (lookahead == 'G' || - lookahead == 'g') ADVANCE(334); + lookahead == 'g') ADVANCE(450); END_STATE(); - case 408: + case 497: if (lookahead == 'G' || - lookahead == 'g') ADVANCE(367); + lookahead == 'g') ADVANCE(407); END_STATE(); - case 409: + case 498: if (lookahead == 'G' || - lookahead == 'g') ADVANCE(430); + lookahead == 'g') ADVANCE(443); END_STATE(); - case 410: + case 499: if (lookahead == 'G' || - lookahead == 'g') ADVANCE(406); + lookahead == 'g') ADVANCE(527); END_STATE(); - case 411: + case 500: + if (lookahead == 'G' || + lookahead == 'g') ADVANCE(496); + END_STATE(); + case 501: if (lookahead == 'H' || - lookahead == 'h') ADVANCE(764); + lookahead == 'h') ADVANCE(880); END_STATE(); - case 412: + case 502: if (lookahead == 'H' || - lookahead == 'h') ADVANCE(763); + lookahead == 'h') ADVANCE(878); END_STATE(); - case 413: + case 503: if (lookahead == 'H' || - lookahead == 'h') ADVANCE(352); + lookahead == 'h') ADVANCE(426); END_STATE(); - case 414: + case 504: if (lookahead == 'H' || - lookahead == 'h') ADVANCE(352); + lookahead == 'h') ADVANCE(426); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(503); + lookahead == 'o') ADVANCE(623); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(310); + lookahead == 'r') ADVANCE(380); END_STATE(); - case 415: + case 505: if (lookahead == 'H' || - lookahead == 'h') ADVANCE(376); + lookahead == 'h') ADVANCE(428); END_STATE(); - case 416: + case 506: if (lookahead == 'H' || - lookahead == 'h') ADVANCE(376); + lookahead == 'h') ADVANCE(381); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(659); + lookahead == 'i') ADVANCE(789); END_STATE(); - case 417: + case 507: if (lookahead == 'H' || - lookahead == 'h') ADVANCE(376); + lookahead == 'h') ADVANCE(381); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(659); + lookahead == 'i') ADVANCE(789); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(589); + lookahead == 'o') ADVANCE(706); END_STATE(); - case 418: + case 508: if (lookahead == 'H' || - lookahead == 'h') ADVANCE(376); - if (lookahead == 'I' || - lookahead == 'i') ADVANCE(661); + lookahead == 'h') ADVANCE(771); END_STATE(); - case 419: + case 509: if (lookahead == 'H' || - lookahead == 'h') ADVANCE(643); + lookahead == 'h') ADVANCE(458); END_STATE(); - case 420: + case 510: if (lookahead == 'H' || - lookahead == 'h') ADVANCE(378); + lookahead == 'h') ADVANCE(390); END_STATE(); - case 421: + case 511: if (lookahead == 'H' || - lookahead == 'h') ADVANCE(318); + lookahead == 'h') ADVANCE(465); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(789); END_STATE(); - case 422: + case 512: + if (lookahead == 'H' || + lookahead == 'h') ADVANCE(465); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(512); + lookahead == 'i') ADVANCE(790); END_STATE(); - case 423: + case 513: + if (lookahead == 'H' || + lookahead == 'h') ADVANCE(465); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(587); + lookahead == 'i') ADVANCE(790); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(706); END_STATE(); - case 424: + case 514: + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(704); + END_STATE(); + case 515: if (lookahead == 'I' || - lookahead == 'i') ADVANCE(587); + lookahead == 'i') ADVANCE(704); if (lookahead == 'S' || - lookahead == 's') ADVANCE(230); + lookahead == 's') ADVANCE(295); END_STATE(); - case 425: + case 516: if (lookahead == 'I' || - lookahead == 'i') ADVANCE(402); + lookahead == 'i') ADVANCE(843); END_STATE(); - case 426: + case 517: if (lookahead == 'I' || - lookahead == 'i') ADVANCE(659); + lookahead == 'i') ADVANCE(492); END_STATE(); - case 427: + case 518: if (lookahead == 'I' || - lookahead == 'i') ADVANCE(523); + lookahead == 'i') ADVANCE(492); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(578); END_STATE(); - case 428: + case 519: if (lookahead == 'I' || - lookahead == 'i') ADVANCE(262); + lookahead == 'i') ADVANCE(831); END_STATE(); - case 429: + case 520: if (lookahead == 'I' || - lookahead == 'i') ADVANCE(404); + lookahead == 'i') ADVANCE(608); END_STATE(); - case 430: + case 521: if (lookahead == 'I' || - lookahead == 'i') ADVANCE(524); + lookahead == 'i') ADVANCE(789); END_STATE(); - case 431: + case 522: if (lookahead == 'I' || - lookahead == 'i') ADVANCE(263); + lookahead == 'i') ADVANCE(632); END_STATE(); - case 432: + case 523: if (lookahead == 'I' || - lookahead == 'i') ADVANCE(233); + lookahead == 'i') ADVANCE(494); END_STATE(); - case 433: + case 524: if (lookahead == 'I' || - lookahead == 'i') ADVANCE(558); + lookahead == 'i') ADVANCE(327); END_STATE(); - case 434: + case 525: if (lookahead == 'I' || - lookahead == 'i') ADVANCE(526); + lookahead == 'i') ADVANCE(657); END_STATE(); - case 435: + case 526: if (lookahead == 'I' || - lookahead == 'i') ADVANCE(547); + lookahead == 'i') ADVANCE(328); END_STATE(); - case 436: + case 527: if (lookahead == 'I' || - lookahead == 'i') ADVANCE(645); + lookahead == 'i') ADVANCE(634); END_STATE(); - case 437: + case 528: if (lookahead == 'I' || - lookahead == 'i') ADVANCE(544); + lookahead == 'i') ADVANCE(276); + if (lookahead == 'Y' || + lookahead == 'y') ADVANCE(529); END_STATE(); - case 438: + case 529: if (lookahead == 'I' || - lookahead == 'i') ADVANCE(572); + lookahead == 'i') ADVANCE(660); END_STATE(); - case 439: + case 530: if (lookahead == 'I' || - lookahead == 'i') ADVANCE(553); + lookahead == 'i') ADVANCE(673); END_STATE(); - case 440: + case 531: if (lookahead == 'I' || - lookahead == 'i') ADVANCE(274); + lookahead == 'i') ADVANCE(636); END_STATE(); - case 441: + case 532: if (lookahead == 'I' || - lookahead == 'i') ADVANCE(631); + lookahead == 'i') ADVANCE(770); END_STATE(); - case 442: + case 533: if (lookahead == 'I' || - lookahead == 'i') ADVANCE(573); + lookahead == 'i') ADVANCE(773); END_STATE(); - case 443: + case 534: if (lookahead == 'I' || - lookahead == 'i') ADVANCE(511); + lookahead == 'i') ADVANCE(662); END_STATE(); - case 444: + case 535: if (lookahead == 'I' || - lookahead == 'i') ADVANCE(574); + lookahead == 'i') ADVANCE(790); END_STATE(); - case 445: + case 536: if (lookahead == 'I' || - lookahead == 'i') ADVANCE(276); + lookahead == 'i') ADVANCE(688); END_STATE(); - case 446: + case 537: if (lookahead == 'I' || - lookahead == 'i') ADVANCE(575); + lookahead == 'i') ADVANCE(668); END_STATE(); - case 447: + case 538: if (lookahead == 'I' || - lookahead == 'i') ADVANCE(485); + lookahead == 'i') ADVANCE(342); END_STATE(); - case 448: + case 539: if (lookahead == 'I' || - lookahead == 'i') ADVANCE(277); + lookahead == 'i') ADVANCE(689); END_STATE(); - case 449: + case 540: if (lookahead == 'I' || - lookahead == 'i') ADVANCE(576); + lookahead == 'i') ADVANCE(617); END_STATE(); - case 450: + case 541: if (lookahead == 'I' || - lookahead == 'i') ADVANCE(486); + lookahead == 'i') ADVANCE(690); END_STATE(); - case 451: + case 542: if (lookahead == 'I' || lookahead == 'i') ADVANCE(285); END_STATE(); - case 452: + case 543: if (lookahead == 'I' || - lookahead == 'i') ADVANCE(236); + lookahead == 'i') ADVANCE(344); END_STATE(); - case 453: + case 544: if (lookahead == 'I' || - lookahead == 'i') ADVANCE(245); + lookahead == 'i') ADVANCE(691); END_STATE(); - case 454: + case 545: + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(590); + END_STATE(); + case 546: + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(353); + END_STATE(); + case 547: + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(692); + END_STATE(); + case 548: + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(591); + END_STATE(); + case 549: + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(345); + END_STATE(); + case 550: + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(693); + END_STATE(); + case 551: + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(298); + END_STATE(); + case 552: + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(309); + END_STATE(); + case 553: + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(763); + END_STATE(); + case 554: if (lookahead == 'K' || - lookahead == 'k') ADVANCE(732); + lookahead == 'k') ADVANCE(872); END_STATE(); - case 455: + case 555: if (lookahead == 'K' || - lookahead == 'k') ADVANCE(879); + lookahead == 'k') ADVANCE(1026); END_STATE(); - case 456: + case 556: if (lookahead == 'K' || - lookahead == 'k') ADVANCE(736); + lookahead == 'k') ADVANCE(876); END_STATE(); - case 457: + case 557: + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(751); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(358); + END_STATE(); + case 558: + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(751); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(358); + if (lookahead == 'X' || + lookahead == 'x') ADVANCE(336); + END_STATE(); + case 559: if (lookahead == 'L' || - lookahead == 'l') ADVANCE(683); + lookahead == 'l') ADVANCE(814); if (lookahead == 'M' || - lookahead == 'm') ADVANCE(505); + lookahead == 'm') ADVANCE(622); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(629); + lookahead == 'n') ADVANCE(753); END_STATE(); - case 458: + case 560: if (lookahead == 'L' || - lookahead == 'l') ADVANCE(840); + lookahead == 'l') ADVANCE(991); if (lookahead == 'T' || - lookahead == 't') ADVANCE(356); + lookahead == 't') ADVANCE(430); END_STATE(); - case 459: + case 561: if (lookahead == 'L' || - lookahead == 'l') ADVANCE(315); + lookahead == 'l') ADVANCE(387); END_STATE(); - case 460: + case 562: if (lookahead == 'L' || - lookahead == 'l') ADVANCE(903); + lookahead == 'l') ADVANCE(1053); END_STATE(); - case 461: + case 563: if (lookahead == 'L' || - lookahead == 'l') ADVANCE(794); + lookahead == 'l') ADVANCE(948); END_STATE(); - case 462: + case 564: if (lookahead == 'L' || - lookahead == 'l') ADVANCE(835); + lookahead == 'l') ADVANCE(987); END_STATE(); - case 463: + case 565: if (lookahead == 'L' || - lookahead == 'l') ADVANCE(788); + lookahead == 'l') ADVANCE(1058); END_STATE(); - case 464: + case 566: if (lookahead == 'L' || - lookahead == 'l') ADVANCE(632); + lookahead == 'l') ADVANCE(941); END_STATE(); - case 465: + case 567: if (lookahead == 'L' || - lookahead == 'l') ADVANCE(688); + lookahead == 'l') ADVANCE(821); END_STATE(); - case 466: + case 568: if (lookahead == 'L' || - lookahead == 'l') ADVANCE(688); + lookahead == 'l') ADVANCE(821); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(432); + lookahead == 'r') ADVANCE(528); END_STATE(); - case 467: + case 569: if (lookahead == 'L' || - lookahead == 'l') ADVANCE(712); + lookahead == 'l') ADVANCE(841); END_STATE(); - case 468: + case 570: if (lookahead == 'L' || - lookahead == 'l') ADVANCE(475); + lookahead == 'l') ADVANCE(581); END_STATE(); - case 469: + case 571: if (lookahead == 'L' || - lookahead == 'l') ADVANCE(697); + lookahead == 'l') ADVANCE(828); END_STATE(); - case 470: + case 572: if (lookahead == 'L' || - lookahead == 'l') ADVANCE(460); + lookahead == 'l') ADVANCE(562); END_STATE(); - case 471: + case 573: if (lookahead == 'L' || - lookahead == 'l') ADVANCE(460); + lookahead == 'l') ADVANCE(562); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(287); + lookahead == 'n') ADVANCE(355); END_STATE(); - case 472: + case 574: if (lookahead == 'L' || - lookahead == 'l') ADVANCE(461); + lookahead == 'l') ADVANCE(563); END_STATE(); - case 473: + case 575: if (lookahead == 'L' || - lookahead == 'l') ADVANCE(467); + lookahead == 'l') ADVANCE(459); END_STATE(); - case 474: + case 576: if (lookahead == 'L' || - lookahead == 'l') ADVANCE(493); + lookahead == 'l') ADVANCE(516); END_STATE(); - case 475: + case 577: if (lookahead == 'L' || - lookahead == 'l') ADVANCE(361); + lookahead == 'l') ADVANCE(569); END_STATE(); - case 476: + case 578: if (lookahead == 'L' || - lookahead == 'l') ADVANCE(239); + lookahead == 'l') ADVANCE(599); END_STATE(); - case 477: + case 579: if (lookahead == 'L' || - lookahead == 'l') ADVANCE(319); + lookahead == 'l') ADVANCE(301); END_STATE(); - case 478: + case 580: if (lookahead == 'L' || - lookahead == 'l') ADVANCE(649); + lookahead == 'l') ADVANCE(778); END_STATE(); - case 479: + case 581: + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(441); + END_STATE(); + case 582: + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(391); + END_STATE(); + case 583: if (lookahead == 'L' || - lookahead == 'l') ADVANCE(664); + lookahead == 'l') ADVANCE(794); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(293); + lookahead == 'n') ADVANCE(360); if (lookahead == 'S' || - lookahead == 's') ADVANCE(760); + lookahead == 's') ADVANCE(885); + if (lookahead == 'T' || + lookahead == 't') ADVANCE(1079); END_STATE(); - case 480: + case 584: if (lookahead == 'L' || - lookahead == 'l') ADVANCE(664); + lookahead == 'l') ADVANCE(794); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(293); + lookahead == 'n') ADVANCE(360); if (lookahead == 'S' || - lookahead == 's') ADVANCE(264); + lookahead == 's') ADVANCE(329); + if (lookahead == 'T' || + lookahead == 't') ADVANCE(1079); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(662); + lookahead == 'u') ADVANCE(791); END_STATE(); - case 481: + case 585: + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(794); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(360); + if (lookahead == 'T' || + lookahead == 't') ADVANCE(1079); + END_STATE(); + case 586: if (lookahead == 'L' || - lookahead == 'l') ADVANCE(664); + lookahead == 'l') ADVANCE(794); if (lookahead == 'S' || - lookahead == 's') ADVANCE(760); + lookahead == 's') ADVANCE(885); END_STATE(); - case 482: + case 587: if (lookahead == 'L' || - lookahead == 'l') ADVANCE(664); + lookahead == 'l') ADVANCE(794); if (lookahead == 'S' || - lookahead == 's') ADVANCE(264); + lookahead == 's') ADVANCE(329); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(662); + lookahead == 'u') ADVANCE(791); END_STATE(); - case 483: + case 588: if (lookahead == 'L' || - lookahead == 'l') ADVANCE(325); + lookahead == 'l') ADVANCE(398); END_STATE(); - case 484: + case 589: if (lookahead == 'L' || - lookahead == 'l') ADVANCE(372); + lookahead == 'l') ADVANCE(451); END_STATE(); - case 485: + case 590: if (lookahead == 'L' || - lookahead == 'l') ADVANCE(387); + lookahead == 'l') ADVANCE(467); END_STATE(); - case 486: + case 591: if (lookahead == 'L' || - lookahead == 'l') ADVANCE(332); + lookahead == 'l') ADVANCE(405); END_STATE(); - case 487: + case 592: if (lookahead == 'L' || - lookahead == 'l') ADVANCE(339); + lookahead == 'l') ADVANCE(412); END_STATE(); - case 488: + case 593: if (lookahead == 'L' || - lookahead == 'l') ADVANCE(340); + lookahead == 'l') ADVANCE(414); END_STATE(); - case 489: + case 594: if (lookahead == 'L' || - lookahead == 'l') ADVANCE(237); + lookahead == 'l') ADVANCE(757); END_STATE(); - case 490: + case 595: + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(299); + END_STATE(); + case 596: if (lookahead == 'L' || - lookahead == 'l') ADVANCE(359); + lookahead == 'l') ADVANCE(439); if (lookahead == 'Q' || - lookahead == 'q') ADVANCE(696); + lookahead == 'q') ADVANCE(827); if (lookahead == 'S' || - lookahead == 's') ADVANCE(635); + lookahead == 's') ADVANCE(761); if (lookahead == 'T' || - lookahead == 't') ADVANCE(751); + lookahead == 't') ADVANCE(909); END_STATE(); - case 491: + case 597: if (lookahead == 'L' || - lookahead == 'l') ADVANCE(359); + lookahead == 'l') ADVANCE(439); if (lookahead == 'Q' || - lookahead == 'q') ADVANCE(696); + lookahead == 'q') ADVANCE(827); if (lookahead == 'T' || - lookahead == 't') ADVANCE(751); + lookahead == 't') ADVANCE(909); END_STATE(); - case 492: + case 598: if (lookahead == 'L' || - lookahead == 'l') ADVANCE(359); + lookahead == 'l') ADVANCE(439); if (lookahead == 'T' || - lookahead == 't') ADVANCE(751); + lookahead == 't') ADVANCE(909); END_STATE(); - case 493: + case 599: if (lookahead == 'L' || - lookahead == 'l') ADVANCE(255); + lookahead == 'l') ADVANCE(320); END_STATE(); - case 494: + case 600: if (lookahead == 'L' || - lookahead == 'l') ADVANCE(428); + lookahead == 'l') ADVANCE(524); END_STATE(); - case 495: + case 601: if (lookahead == 'L' || - lookahead == 'l') ADVANCE(692); + lookahead == 'l') ADVANCE(824); END_STATE(); - case 496: + case 602: if (lookahead == 'L' || - lookahead == 'l') ADVANCE(484); + lookahead == 'l') ADVANCE(589); END_STATE(); - case 497: + case 603: if (lookahead == 'L' || - lookahead == 'l') ADVANCE(693); + lookahead == 'l') ADVANCE(825); END_STATE(); - case 498: + case 604: if (lookahead == 'L' || - lookahead == 'l') ADVANCE(698); + lookahead == 'l') ADVANCE(829); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(389); + lookahead == 'r') ADVANCE(472); END_STATE(); - case 499: + case 605: if (lookahead == 'M' || - lookahead == 'm') ADVANCE(355); + lookahead == 'm') ADVANCE(431); END_STATE(); - case 500: + case 606: if (lookahead == 'M' || - lookahead == 'm') ADVANCE(895); + lookahead == 'm') ADVANCE(1045); END_STATE(); - case 501: + case 607: if (lookahead == 'M' || - lookahead == 'm') ADVANCE(581); + lookahead == 'm') ADVANCE(698); END_STATE(); - case 502: + case 608: if (lookahead == 'M' || - lookahead == 'm') ADVANCE(241); + lookahead == 'm') ADVANCE(532); END_STATE(); - case 503: + case 609: if (lookahead == 'M' || - lookahead == 'm') ADVANCE(505); + lookahead == 'm') ADVANCE(308); END_STATE(); - case 504: + case 610: if (lookahead == 'M' || - lookahead == 'm') ADVANCE(311); + lookahead == 'm') ADVANCE(383); END_STATE(); - case 505: + case 611: if (lookahead == 'M' || - lookahead == 'm') ADVANCE(436); + lookahead == 'm') ADVANCE(615); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(959); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(1070); END_STATE(); - case 506: + case 612: if (lookahead == 'M' || - lookahead == 'm') ADVANCE(510); + lookahead == 'm') ADVANCE(615); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(809); + lookahead == 'n') ADVANCE(963); if (lookahead == 'S' || - lookahead == 's') ADVANCE(913); + lookahead == 's') ADVANCE(1070); END_STATE(); - case 507: + case 613: if (lookahead == 'M' || - lookahead == 'm') ADVANCE(510); + lookahead == 'm') ADVANCE(615); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(805); - if (lookahead == 'S' || - lookahead == 's') ADVANCE(913); + lookahead == 'n') ADVANCE(330); END_STATE(); - case 508: + case 614: if (lookahead == 'M' || - lookahead == 'm') ADVANCE(510); + lookahead == 'm') ADVANCE(615); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(265); + lookahead == 'n') ADVANCE(356); END_STATE(); - case 509: + case 615: if (lookahead == 'M' || - lookahead == 'm') ADVANCE(525); + lookahead == 'm') ADVANCE(826); END_STATE(); - case 510: + case 616: if (lookahead == 'M' || - lookahead == 'm') ADVANCE(695); + lookahead == 'm') ADVANCE(635); END_STATE(); - case 511: + case 617: if (lookahead == 'M' || - lookahead == 'm') ADVANCE(227); + lookahead == 'm') ADVANCE(284); END_STATE(); - case 512: + case 618: if (lookahead == 'M' || - lookahead == 'm') ADVANCE(227); + lookahead == 'm') ADVANCE(284); if (lookahead == 'V' || - lookahead == 'v') ADVANCE(447); + lookahead == 'v') ADVANCE(545); END_STATE(); - case 513: + case 619: if (lookahead == 'M' || - lookahead == 'm') ADVANCE(200); + lookahead == 'm') ADVANCE(252); END_STATE(); - case 514: + case 620: if (lookahead == 'M' || - lookahead == 'm') ADVANCE(384); + lookahead == 'm') ADVANCE(397); END_STATE(); - case 515: + case 621: if (lookahead == 'M' || - lookahead == 'm') ADVANCE(388); + lookahead == 'm') ADVANCE(464); END_STATE(); - case 516: - if (lookahead == 'N' || - lookahead == 'n') ADVANCE(793); - if (lookahead == 'P' || - lookahead == 'p') ADVANCE(660); - if (lookahead == 'R' || - lookahead == 'r') ADVANCE(919); - if (lookahead == 'U' || - lookahead == 'u') ADVANCE(639); - if (lookahead == 'W' || - lookahead == 'w') ADVANCE(551); + case 622: + if (lookahead == 'M' || + lookahead == 'm') ADVANCE(533); END_STATE(); - case 517: + case 623: + if (lookahead == 'M' || + lookahead == 'm') ADVANCE(622); + END_STATE(); + case 624: + if (lookahead == 'M' || + lookahead == 'm') ADVANCE(468); + END_STATE(); + case 625: if (lookahead == 'N' || - lookahead == 'n') ADVANCE(793); + lookahead == 'n') ADVANCE(946); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(919); - if (lookahead == 'U' || - lookahead == 'u') ADVANCE(672); + lookahead == 'r') ADVANCE(1075); END_STATE(); - case 518: + case 626: if (lookahead == 'N' || - lookahead == 'n') ADVANCE(793); + lookahead == 'n') ADVANCE(946); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(918); + lookahead == 'r') ADVANCE(113); + if (lookahead == 'W' || + lookahead == 'w') ADVANCE(666); END_STATE(); - case 519: + case 627: if (lookahead == 'N' || - lookahead == 'n') ADVANCE(793); - if (lookahead == 'R' || - lookahead == 'r') ADVANCE(77); + lookahead == 'n') ADVANCE(946); if (lookahead == 'W' || - lookahead == 'w') ADVANCE(551); + lookahead == 'w') ADVANCE(666); END_STATE(); - case 520: + case 628: if (lookahead == 'N' || - lookahead == 'n') ADVANCE(424); + lookahead == 'n') ADVANCE(515); if (lookahead == 'P' || - lookahead == 'p') ADVANCE(304); + lookahead == 'p') ADVANCE(374); END_STATE(); - case 521: + case 629: if (lookahead == 'N' || - lookahead == 'n') ADVANCE(424); + lookahead == 'n') ADVANCE(515); if (lookahead == 'P' || - lookahead == 'p') ADVANCE(304); + lookahead == 'p') ADVANCE(374); if (lookahead == 'S' || - lookahead == 's') ADVANCE(214); + lookahead == 's') ADVANCE(269); END_STATE(); - case 522: + case 630: if (lookahead == 'N' || - lookahead == 'n') ADVANCE(424); + lookahead == 'n') ADVANCE(515); if (lookahead == 'P' || - lookahead == 'p') ADVANCE(304); + lookahead == 'p') ADVANCE(374); if (lookahead == 'S' || - lookahead == 's') ADVANCE(437); + lookahead == 's') ADVANCE(525); END_STATE(); - case 523: + case 631: if (lookahead == 'N' || - lookahead == 'n') ADVANCE(906); + lookahead == 'n') ADVANCE(1064); END_STATE(); - case 524: + case 632: if (lookahead == 'N' || - lookahead == 'n') ADVANCE(730); + lookahead == 'n') ADVANCE(1056); END_STATE(); - case 525: + case 633: if (lookahead == 'N' || - lookahead == 'n') ADVANCE(750); + lookahead == 'n') ADVANCE(1063); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(394); END_STATE(); - case 526: + case 634: if (lookahead == 'N' || - lookahead == 'n') ADVANCE(860); + lookahead == 'n') ADVANCE(870); END_STATE(); - case 527: + case 635: if (lookahead == 'N' || - lookahead == 'n') ADVANCE(859); + lookahead == 'n') ADVANCE(907); END_STATE(); - case 528: + case 636: if (lookahead == 'N' || - lookahead == 'n') ADVANCE(884); + lookahead == 'n') ADVANCE(1010); END_STATE(); - case 529: + case 637: if (lookahead == 'N' || - lookahead == 'n') ADVANCE(833); + lookahead == 'n') ADVANCE(1009); END_STATE(); - case 530: + case 638: if (lookahead == 'N' || - lookahead == 'n') ADVANCE(783); + lookahead == 'n') ADVANCE(1031); END_STATE(); - case 531: + case 639: if (lookahead == 'N' || - lookahead == 'n') ADVANCE(821); + lookahead == 'n') ADVANCE(985); END_STATE(); - case 532: + case 640: if (lookahead == 'N' || - lookahead == 'n') ADVANCE(733); + lookahead == 'n') ADVANCE(936); END_STATE(); - case 533: + case 641: if (lookahead == 'N' || - lookahead == 'n') ADVANCE(809); - if (lookahead == 'S' || - lookahead == 's') ADVANCE(913); + lookahead == 'n') ADVANCE(973); END_STATE(); - case 534: + case 642: if (lookahead == 'N' || - lookahead == 'n') ADVANCE(803); - if (lookahead == 'S' || - lookahead == 's') ADVANCE(913); + lookahead == 'n') ADVANCE(1410); END_STATE(); - case 535: + case 643: if (lookahead == 'N' || - lookahead == 'n') ADVANCE(800); - if (lookahead == 'S' || - lookahead == 's') ADVANCE(913); + lookahead == 'n') ADVANCE(873); END_STATE(); - case 536: + case 644: if (lookahead == 'N' || - lookahead == 'n') ADVANCE(804); + lookahead == 'n') ADVANCE(959); if (lookahead == 'S' || - lookahead == 's') ADVANCE(913); + lookahead == 's') ADVANCE(1070); END_STATE(); - case 537: + case 645: if (lookahead == 'N' || - lookahead == 'n') ADVANCE(801); + lookahead == 'n') ADVANCE(963); if (lookahead == 'S' || - lookahead == 's') ADVANCE(913); + lookahead == 's') ADVANCE(1070); END_STATE(); - case 538: + case 646: if (lookahead == 'N' || - lookahead == 'n') ADVANCE(288); + lookahead == 'n') ADVANCE(954); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(1070); END_STATE(); - case 539: + case 647: if (lookahead == 'N' || - lookahead == 'n') ADVANCE(301); + lookahead == 'n') ADVANCE(957); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(1070); END_STATE(); - case 540: + case 648: if (lookahead == 'N' || - lookahead == 'n') ADVANCE(293); + lookahead == 'n') ADVANCE(958); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(1070); END_STATE(); - case 541: + case 649: if (lookahead == 'N' || - lookahead == 'n') ADVANCE(293); + lookahead == 'n') ADVANCE(955); if (lookahead == 'S' || - lookahead == 's') ADVANCE(264); + lookahead == 's') ADVANCE(1070); + END_STATE(); + case 650: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(356); + END_STATE(); + case 651: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(371); + END_STATE(); + case 652: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(360); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(329); + if (lookahead == 'T' || + lookahead == 't') ADVANCE(1079); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(662); + lookahead == 'u') ADVANCE(791); END_STATE(); - case 542: + case 653: if (lookahead == 'N' || - lookahead == 'n') ADVANCE(403); + lookahead == 'n') ADVANCE(360); + if (lookahead == 'T' || + lookahead == 't') ADVANCE(1079); END_STATE(); - case 543: + case 654: if (lookahead == 'N' || - lookahead == 'n') ADVANCE(630); + lookahead == 'n') ADVANCE(756); END_STATE(); - case 544: + case 655: if (lookahead == 'N' || - lookahead == 'n') ADVANCE(401); + lookahead == 'n') ADVANCE(493); END_STATE(); - case 545: + case 656: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(493); + if (lookahead == 'T' || + lookahead == 't') ADVANCE(457); + END_STATE(); + case 657: if (lookahead == 'N' || - lookahead == 'n') ADVANCE(624); + lookahead == 'n') ADVANCE(490); END_STATE(); - case 546: + case 658: if (lookahead == 'N' || - lookahead == 'n') ADVANCE(278); + lookahead == 'n') ADVANCE(292); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(811); + if (lookahead == 'T' || + lookahead == 't') ADVANCE(817); END_STATE(); - case 547: + case 659: if (lookahead == 'N' || - lookahead == 'n') ADVANCE(284); + lookahead == 'n') ADVANCE(747); END_STATE(); - case 548: + case 660: if (lookahead == 'N' || - lookahead == 'n') ADVANCE(641); + lookahead == 'n') ADVANCE(491); END_STATE(); - case 549: + case 661: if (lookahead == 'N' || - lookahead == 'n') ADVANCE(423); + lookahead == 'n') ADVANCE(346); END_STATE(); - case 550: + case 662: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(352); + END_STATE(); + case 663: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(768); + END_STATE(); + case 664: + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(514); + END_STATE(); + case 665: if (lookahead == 'N' || - lookahead == 'n') ADVANCE(423); + lookahead == 'n') ADVANCE(514); if (lookahead == 'P' || - lookahead == 'p') ADVANCE(304); + lookahead == 'p') ADVANCE(374); END_STATE(); - case 551: + case 666: if (lookahead == 'N' || - lookahead == 'n') ADVANCE(358); + lookahead == 'n') ADVANCE(438); END_STATE(); - case 552: + case 667: if (lookahead == 'N' || - lookahead == 'n') ADVANCE(317); + lookahead == 'n') ADVANCE(389); END_STATE(); - case 553: + case 668: if (lookahead == 'N' || - lookahead == 'n') ADVANCE(653); + lookahead == 'n') ADVANCE(782); END_STATE(); - case 554: + case 669: if (lookahead == 'N' || - lookahead == 'n') ADVANCE(654); + lookahead == 'n') ADVANCE(783); END_STATE(); - case 555: + case 670: if (lookahead == 'N' || - lookahead == 'n') ADVANCE(657); + lookahead == 'n') ADVANCE(786); END_STATE(); - case 556: + case 671: if (lookahead == 'N' || - lookahead == 'n') ADVANCE(628); + lookahead == 'n') ADVANCE(752); if (lookahead == 'P' || - lookahead == 'p') ADVANCE(304); + lookahead == 'p') ADVANCE(374); END_STATE(); - case 557: + case 672: if (lookahead == 'N' || - lookahead == 'n') ADVANCE(279); + lookahead == 'n') ADVANCE(347); END_STATE(); - case 558: + case 673: if (lookahead == 'N' || - lookahead == 'n') ADVANCE(275); + lookahead == 'n') ADVANCE(343); END_STATE(); - case 559: + case 674: if (lookahead == 'N' || - lookahead == 'n') ADVANCE(701); + lookahead == 'n') ADVANCE(833); END_STATE(); - case 560: + case 675: if (lookahead == 'N' || - lookahead == 'n') ADVANCE(636); + lookahead == 'n') ADVANCE(762); END_STATE(); - case 561: + case 676: if (lookahead == 'O' || - lookahead == 'o') ADVANCE(489); + lookahead == 'o') ADVANCE(595); END_STATE(); - case 562: + case 677: if (lookahead == 'O' || - lookahead == 'o') ADVANCE(427); + lookahead == 'o') ADVANCE(522); END_STATE(); - case 563: + case 678: + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(922); + END_STATE(); + case 679: if (lookahead == 'O' || - lookahead == 'o') ADVANCE(769); + lookahead == 'o') ADVANCE(922); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(472); + lookahead == 'u') ADVANCE(574); END_STATE(); - case 564: + case 680: if (lookahead == 'O' || - lookahead == 'o') ADVANCE(188); + lookahead == 'o') ADVANCE(241); END_STATE(); - case 565: + case 681: if (lookahead == 'O' || - lookahead == 'o') ADVANCE(908); + lookahead == 'o') ADVANCE(894); END_STATE(); - case 566: + case 682: if (lookahead == 'O' || - lookahead == 'o') ADVANCE(768); + lookahead == 'o') ADVANCE(921); END_STATE(); - case 567: + case 683: if (lookahead == 'O' || - lookahead == 'o') ADVANCE(603); + lookahead == 'o') ADVANCE(723); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(571); + lookahead == 'r') ADVANCE(686); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(471); + lookahead == 'u') ADVANCE(573); END_STATE(); - case 568: + case 684: if (lookahead == 'O' || - lookahead == 'o') ADVANCE(603); - if (lookahead == 'U' || - lookahead == 'u') ADVANCE(470); + lookahead == 'o') ADVANCE(699); END_STATE(); - case 569: + case 685: if (lookahead == 'O' || - lookahead == 'o') ADVANCE(582); + lookahead == 'o') ADVANCE(813); END_STATE(); - case 570: + case 686: if (lookahead == 'O' || - lookahead == 'o') ADVANCE(682); + lookahead == 'o') ADVANCE(606); END_STATE(); - case 571: + case 687: if (lookahead == 'O' || - lookahead == 'o') ADVANCE(500); + lookahead == 'o') ADVANCE(623); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(380); END_STATE(); - case 572: + case 688: if (lookahead == 'O' || - lookahead == 'o') ADVANCE(527); + lookahead == 'o') ADVANCE(637); END_STATE(); - case 573: + case 689: if (lookahead == 'O' || - lookahead == 'o') ADVANCE(529); + lookahead == 'o') ADVANCE(639); END_STATE(); - case 574: + case 690: if (lookahead == 'O' || - lookahead == 'o') ADVANCE(530); + lookahead == 'o') ADVANCE(640); END_STATE(); - case 575: + case 691: if (lookahead == 'O' || - lookahead == 'o') ADVANCE(531); + lookahead == 'o') ADVANCE(641); END_STATE(); - case 576: + case 692: if (lookahead == 'O' || - lookahead == 'o') ADVANCE(532); + lookahead == 'o') ADVANCE(642); END_STATE(); - case 577: + case 693: if (lookahead == 'O' || - lookahead == 'o') ADVANCE(638); + lookahead == 'o') ADVANCE(643); END_STATE(); - case 578: + case 694: + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(765); + END_STATE(); + case 695: if (lookahead == 'O' || - lookahead == 'o') ADVANCE(638); + lookahead == 'o') ADVANCE(765); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(472); + lookahead == 'u') ADVANCE(574); END_STATE(); - case 579: + case 696: if (lookahead == 'O' || - lookahead == 'o') ADVANCE(552); + lookahead == 'o') ADVANCE(667); END_STATE(); - case 580: + case 697: if (lookahead == 'O' || - lookahead == 'o') ADVANCE(474); + lookahead == 'o') ADVANCE(578); END_STATE(); - case 581: + case 698: if (lookahead == 'P' || - lookahead == 'p') ADVANCE(740); + lookahead == 'p') ADVANCE(897); END_STATE(); - case 582: + case 699: if (lookahead == 'P' || - lookahead == 'p') ADVANCE(825); + lookahead == 'p') ADVANCE(977); END_STATE(); - case 583: + case 700: if (lookahead == 'P' || - lookahead == 'p') ADVANCE(855); + lookahead == 'p') ADVANCE(1005); END_STATE(); - case 584: + case 701: if (lookahead == 'P' || - lookahead == 'p') ADVANCE(304); + lookahead == 'p') ADVANCE(374); END_STATE(); - case 585: + case 702: if (lookahead == 'P' || - lookahead == 'p') ADVANCE(314); + lookahead == 'p') ADVANCE(386); END_STATE(); - case 586: + case 703: if (lookahead == 'P' || - lookahead == 'p') ADVANCE(476); + lookahead == 'p') ADVANCE(579); END_STATE(); - case 587: + case 704: if (lookahead == 'Q' || - lookahead == 'q') ADVANCE(690); + lookahead == 'q') ADVANCE(823); END_STATE(); - case 588: + case 705: if (lookahead == 'R' || - lookahead == 'r') ADVANCE(919); + lookahead == 'r') ADVANCE(1076); END_STATE(); - case 589: + case 706: if (lookahead == 'R' || - lookahead == 'r') ADVANCE(454); + lookahead == 'r') ADVANCE(554); END_STATE(); - case 590: + case 707: if (lookahead == 'R' || - lookahead == 'r') ADVANCE(742); + lookahead == 'r') ADVANCE(899); END_STATE(); - case 591: + case 708: if (lookahead == 'R' || - lookahead == 'r') ADVANCE(897); + lookahead == 'r') ADVANCE(1047); END_STATE(); - case 592: + case 709: if (lookahead == 'R' || - lookahead == 'r') ADVANCE(891); + lookahead == 'r') ADVANCE(1038); END_STATE(); - case 593: + case 710: if (lookahead == 'R' || - lookahead == 'r') ADVANCE(851); + lookahead == 'r') ADVANCE(1001); END_STATE(); - case 594: + case 711: if (lookahead == 'R' || - lookahead == 'r') ADVANCE(905); + lookahead == 'r') ADVANCE(1055); END_STATE(); - case 595: + case 712: if (lookahead == 'R' || - lookahead == 'r') ADVANCE(918); + lookahead == 'r') ADVANCE(1075); END_STATE(); - case 596: + case 713: if (lookahead == 'R' || - lookahead == 'r') ADVANCE(216); + lookahead == 'r') ADVANCE(271); END_STATE(); - case 597: + case 714: if (lookahead == 'R' || - lookahead == 'r') ADVANCE(710); + lookahead == 'r') ADVANCE(839); END_STATE(); - case 598: + case 715: if (lookahead == 'R' || - lookahead == 'r') ADVANCE(250); + lookahead == 'r') ADVANCE(436); END_STATE(); - case 599: + case 716: if (lookahead == 'R' || - lookahead == 'r') ADVANCE(569); + lookahead == 'r') ADVANCE(315); END_STATE(); - case 600: + case 717: if (lookahead == 'R' || - lookahead == 'r') ADVANCE(711); + lookahead == 'r') ADVANCE(840); END_STATE(); - case 601: + case 718: if (lookahead == 'R' || - lookahead == 'r') ADVANCE(232); + lookahead == 'r') ADVANCE(686); END_STATE(); - case 602: + case 719: if (lookahead == 'R' || - lookahead == 'r') ADVANCE(570); + lookahead == 'r') ADVANCE(842); END_STATE(); - case 603: + case 720: if (lookahead == 'R' || - lookahead == 'r') ADVANCE(364); + lookahead == 'r') ADVANCE(294); END_STATE(); - case 604: + case 721: if (lookahead == 'R' || - lookahead == 'r') ADVANCE(598); + lookahead == 'r') ADVANCE(542); END_STATE(); - case 605: + case 722: if (lookahead == 'R' || - lookahead == 'r') ADVANCE(443); + lookahead == 'r') ADVANCE(685); END_STATE(); - case 606: + case 723: if (lookahead == 'R' || - lookahead == 'r') ADVANCE(545); + lookahead == 'r') ADVANCE(433); END_STATE(); - case 607: + case 724: if (lookahead == 'R' || - lookahead == 'r') ADVANCE(644); + lookahead == 'r') ADVANCE(540); END_STATE(); - case 608: + case 725: if (lookahead == 'R' || - lookahead == 'r') ADVANCE(646); + lookahead == 'r') ADVANCE(716); END_STATE(); - case 609: + case 726: if (lookahead == 'R' || - lookahead == 'r') ADVANCE(215); + lookahead == 'r') ADVANCE(659); END_STATE(); - case 610: + case 727: if (lookahead == 'R' || - lookahead == 'r') ADVANCE(322); + lookahead == 'r') ADVANCE(772); END_STATE(); - case 611: + case 728: if (lookahead == 'R' || - lookahead == 'r') ADVANCE(377); + lookahead == 'r') ADVANCE(270); END_STATE(); - case 612: + case 729: if (lookahead == 'R' || - lookahead == 'r') ADVANCE(357); + lookahead == 'r') ADVANCE(774); END_STATE(); - case 613: + case 730: if (lookahead == 'R' || - lookahead == 'r') ADVANCE(228); + lookahead == 'r') ADVANCE(394); END_STATE(); - case 614: + case 731: if (lookahead == 'R' || - lookahead == 'r') ADVANCE(380); + lookahead == 'r') ADVANCE(461); END_STATE(); - case 615: + case 732: if (lookahead == 'R' || - lookahead == 'r') ADVANCE(620); + lookahead == 'r') ADVANCE(432); END_STATE(); - case 616: + case 733: if (lookahead == 'R' || - lookahead == 'r') ADVANCE(246); + lookahead == 'r') ADVANCE(755); END_STATE(); - case 617: + case 734: if (lookahead == 'R' || - lookahead == 'r') ADVANCE(445); + lookahead == 'r') ADVANCE(286); END_STATE(); - case 618: + case 735: if (lookahead == 'R' || - lookahead == 'r') ADVANCE(448); + lookahead == 'r') ADVANCE(434); END_STATE(); - case 619: + case 736: if (lookahead == 'R' || - lookahead == 'r') ADVANCE(451); + lookahead == 'r') ADVANCE(283); END_STATE(); - case 620: + case 737: if (lookahead == 'R' || - lookahead == 'r') ADVANCE(249); + lookahead == 'r') ADVANCE(460); END_STATE(); - case 621: + case 738: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(743); + END_STATE(); + case 739: if (lookahead == 'R' || - lookahead == 'r') ADVANCE(389); + lookahead == 'r') ADVANCE(311); END_STATE(); - case 622: + case 740: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(543); + END_STATE(); + case 741: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(546); + END_STATE(); + case 742: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(549); + END_STATE(); + case 743: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(314); + END_STATE(); + case 744: + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(472); + END_STATE(); + case 745: if (lookahead == 'S' || - lookahead == 's') ADVANCE(748); + lookahead == 's') ADVANCE(905); END_STATE(); - case 623: + case 746: if (lookahead == 'S' || - lookahead == 's') ADVANCE(909); + lookahead == 's') ADVANCE(1060); END_STATE(); - case 624: + case 747: if (lookahead == 'S' || - lookahead == 's') ADVANCE(784); + lookahead == 's') ADVANCE(937); END_STATE(); - case 625: + case 748: if (lookahead == 'S' || - lookahead == 's') ADVANCE(841); + lookahead == 's') ADVANCE(992); END_STATE(); - case 626: + case 749: if (lookahead == 'S' || - lookahead == 's') ADVANCE(850); + lookahead == 's') ADVANCE(1000); END_STATE(); - case 627: + case 750: if (lookahead == 'S' || - lookahead == 's') ADVANCE(676); + lookahead == 's') ADVANCE(807); END_STATE(); - case 628: + case 751: if (lookahead == 'S' || - lookahead == 's') ADVANCE(230); + lookahead == 's') ADVANCE(382); END_STATE(); - case 629: + case 752: if (lookahead == 'S' || - lookahead == 's') ADVANCE(674); + lookahead == 's') ADVANCE(295); END_STATE(); - case 630: + case 753: if (lookahead == 'S' || - lookahead == 's') ADVANCE(252); + lookahead == 's') ADVANCE(806); END_STATE(); - case 631: + case 754: if (lookahead == 'S' || - lookahead == 's') ADVANCE(663); + lookahead == 's') ADVANCE(792); END_STATE(); - case 632: + case 755: if (lookahead == 'S' || - lookahead == 's') ADVANCE(320); + lookahead == 's') ADVANCE(519); END_STATE(); - case 633: + case 756: if (lookahead == 'S' || - lookahead == 's') ADVANCE(333); + lookahead == 's') ADVANCE(317); END_STATE(); - case 634: + case 757: if (lookahead == 'S' || - lookahead == 's') ADVANCE(272); + lookahead == 's') ADVANCE(392); END_STATE(); - case 635: + case 758: if (lookahead == 'S' || - lookahead == 's') ADVANCE(442); + lookahead == 's') ADVANCE(454); END_STATE(); - case 636: + case 759: if (lookahead == 'S' || - lookahead == 's') ADVANCE(446); + lookahead == 's') ADVANCE(406); END_STATE(); - case 637: + case 760: if (lookahead == 'S' || - lookahead == 's') ADVANCE(681); - if (lookahead == 'T' || - lookahead == 't') ADVANCE(684); + lookahead == 's') ADVANCE(339); END_STATE(); - case 638: + case 761: + if (lookahead == 'S' || + lookahead == 's') ADVANCE(539); + END_STATE(); + case 762: + if (lookahead == 'S' || + lookahead == 's') ADVANCE(544); + END_STATE(); + case 763: + if (lookahead == 'S' || + lookahead == 's') ADVANCE(547); + END_STATE(); + case 764: + if (lookahead == 'S' || + lookahead == 's') ADVANCE(811); if (lookahead == 'T' || - lookahead == 't') ADVANCE(758); + lookahead == 't') ADVANCE(817); END_STATE(); - case 639: + case 765: if (lookahead == 'T' || - lookahead == 't') ADVANCE(811); + lookahead == 't') ADVANCE(887); END_STATE(); - case 640: + case 766: if (lookahead == 'T' || - lookahead == 't') ADVANCE(899); + lookahead == 't') ADVANCE(966); END_STATE(); - case 641: + case 767: if (lookahead == 'T' || - lookahead == 't') ADVANCE(838); + lookahead == 't') ADVANCE(1049); END_STATE(); - case 642: + case 768: if (lookahead == 'T' || - lookahead == 't') ADVANCE(813); + lookahead == 't') ADVANCE(989); END_STATE(); - case 643: + case 769: if (lookahead == 'T' || - lookahead == 't') ADVANCE(901); + lookahead == 't') ADVANCE(968); END_STATE(); - case 644: + case 770: if (lookahead == 'T' || - lookahead == 't') ADVANCE(762); + lookahead == 't') ADVANCE(1040); END_STATE(); - case 645: + case 771: if (lookahead == 'T' || - lookahead == 't') ADVANCE(734); + lookahead == 't') ADVANCE(1051); END_STATE(); - case 646: + case 772: if (lookahead == 'T' || - lookahead == 't') ADVANCE(844); + lookahead == 't') ADVANCE(918); END_STATE(); - case 647: + case 773: if (lookahead == 'T' || - lookahead == 't') ADVANCE(842); + lookahead == 't') ADVANCE(874); END_STATE(); - case 648: + case 774: if (lookahead == 'T' || - lookahead == 't') ADVANCE(797); + lookahead == 't') ADVANCE(892); END_STATE(); - case 649: + case 775: if (lookahead == 'T' || - lookahead == 't') ADVANCE(753); + lookahead == 't') ADVANCE(1042); END_STATE(); - case 650: + case 776: if (lookahead == 'T' || - lookahead == 't') ADVANCE(869); + lookahead == 't') ADVANCE(993); END_STATE(); - case 651: + case 777: if (lookahead == 'T' || - lookahead == 't') ADVANCE(915); + lookahead == 't') ADVANCE(951); END_STATE(); - case 652: + case 778: if (lookahead == 'T' || lookahead == 't') ADVANCE(911); END_STATE(); - case 653: + case 779: if (lookahead == 'T' || - lookahead == 't') ADVANCE(877); + lookahead == 't') ADVANCE(1411); END_STATE(); - case 654: + case 780: if (lookahead == 'T' || - lookahead == 't') ADVANCE(864); + lookahead == 't') ADVANCE(1072); END_STATE(); - case 655: + case 781: if (lookahead == 'T' || - lookahead == 't') ADVANCE(910); + lookahead == 't') ADVANCE(1068); END_STATE(); - case 656: + case 782: if (lookahead == 'T' || - lookahead == 't') ADVANCE(796); + lookahead == 't') ADVANCE(1024); END_STATE(); - case 657: + case 783: if (lookahead == 'T' || - lookahead == 't') ADVANCE(766); + lookahead == 't') ADVANCE(1014); END_STATE(); - case 658: + case 784: if (lookahead == 'T' || - lookahead == 't') ADVANCE(203); + lookahead == 't') ADVANCE(1067); END_STATE(); - case 659: + case 785: if (lookahead == 'T' || - lookahead == 't') ADVANCE(411); + lookahead == 't') ADVANCE(950); END_STATE(); - case 660: + case 786: if (lookahead == 'T' || - lookahead == 't') ADVANCE(438); + lookahead == 't') ADVANCE(919); END_STATE(); - case 661: + case 787: if (lookahead == 'T' || - lookahead == 't') ADVANCE(412); + lookahead == 't') ADVANCE(254); END_STATE(); - case 662: + case 788: if (lookahead == 'T' || - lookahead == 't') ADVANCE(564); + lookahead == 't') ADVANCE(536); END_STATE(); - case 663: + case 789: if (lookahead == 'T' || - lookahead == 't') ADVANCE(622); + lookahead == 't') ADVANCE(501); END_STATE(); - case 664: + case 790: if (lookahead == 'T' || - lookahead == 't') ADVANCE(356); + lookahead == 't') ADVANCE(502); END_STATE(); - case 665: + case 791: if (lookahead == 'T' || - lookahead == 't') ADVANCE(617); + lookahead == 't') ADVANCE(680); END_STATE(); - case 666: + case 792: if (lookahead == 'T' || - lookahead == 't') ADVANCE(247); + lookahead == 't') ADVANCE(745); END_STATE(); - case 667: + case 793: if (lookahead == 'T' || - lookahead == 't') ADVANCE(323); + lookahead == 't') ADVANCE(551); END_STATE(); - case 668: + case 794: if (lookahead == 'T' || - lookahead == 't') ADVANCE(324); + lookahead == 't') ADVANCE(430); END_STATE(); - case 669: + case 795: if (lookahead == 'T' || - lookahead == 't') ADVANCE(328); + lookahead == 't') ADVANCE(740); END_STATE(); - case 670: + case 796: if (lookahead == 'T' || - lookahead == 't') ADVANCE(331); + lookahead == 't') ADVANCE(312); END_STATE(); - case 671: + case 797: if (lookahead == 'T' || - lookahead == 't') ADVANCE(338); + lookahead == 't') ADVANCE(445); END_STATE(); - case 672: + case 798: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(445); + if (lookahead == 'X' || + lookahead == 'x') ADVANCE(832); + END_STATE(); + case 799: if (lookahead == 'T' || - lookahead == 't') ADVANCE(374); + lookahead == 't') ADVANCE(395); END_STATE(); - case 673: + case 800: if (lookahead == 'T' || - lookahead == 't') ADVANCE(369); + lookahead == 't') ADVANCE(396); END_STATE(); - case 674: + case 801: if (lookahead == 'T' || - lookahead == 't') ADVANCE(616); + lookahead == 't') ADVANCE(401); END_STATE(); - case 675: + case 802: if (lookahead == 'T' || - lookahead == 't') ADVANCE(452); + lookahead == 't') ADVANCE(404); END_STATE(); - case 676: + case 803: if (lookahead == 'T' || - lookahead == 't') ADVANCE(433); + lookahead == 't') ADVANCE(411); END_STATE(); - case 677: + case 804: if (lookahead == 'T' || - lookahead == 't') ADVANCE(450); + lookahead == 't') ADVANCE(453); END_STATE(); - case 678: + case 805: if (lookahead == 'T' || - lookahead == 't') ADVANCE(444); + lookahead == 't') ADVANCE(448); END_STATE(); - case 679: + case 806: if (lookahead == 'T' || - lookahead == 't') ADVANCE(449); + lookahead == 't') ADVANCE(739); END_STATE(); - case 680: + case 807: if (lookahead == 'T' || - lookahead == 't') ADVANCE(618); + lookahead == 't') ADVANCE(530); END_STATE(); - case 681: + case 808: if (lookahead == 'T' || - lookahead == 't') ADVANCE(619); + lookahead == 't') ADVANCE(548); END_STATE(); - case 682: + case 809: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(541); + END_STATE(); + case 810: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(550); + END_STATE(); + case 811: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(741); + END_STATE(); + case 812: + if (lookahead == 'T' || + lookahead == 't') ADVANCE(742); + END_STATE(); + case 813: if (lookahead == 'U' || - lookahead == 'u') ADVANCE(583); + lookahead == 'u') ADVANCE(700); END_STATE(); - case 683: + case 814: if (lookahead == 'U' || - lookahead == 'u') ADVANCE(509); + lookahead == 'u') ADVANCE(616); END_STATE(); - case 684: + case 815: if (lookahead == 'U' || - lookahead == 'u') ADVANCE(606); + lookahead == 'u') ADVANCE(733); END_STATE(); - case 685: + case 816: if (lookahead == 'U' || - lookahead == 'u') ADVANCE(478); + lookahead == 'u') ADVANCE(580); END_STATE(); - case 686: + case 817: if (lookahead == 'U' || - lookahead == 'u') ADVANCE(642); + lookahead == 'u') ADVANCE(726); END_STATE(); - case 687: + case 818: if (lookahead == 'U' || - lookahead == 'u') ADVANCE(238); + lookahead == 'u') ADVANCE(769); END_STATE(); - case 688: + case 819: if (lookahead == 'U' || - lookahead == 'u') ADVANCE(362); + lookahead == 'u') ADVANCE(300); END_STATE(); - case 689: + case 820: if (lookahead == 'U' || - lookahead == 'u') ADVANCE(650); + lookahead == 'u') ADVANCE(779); END_STATE(); - case 690: + case 821: if (lookahead == 'U' || - lookahead == 'u') ADVANCE(326); + lookahead == 'u') ADVANCE(437); END_STATE(); - case 691: + case 822: if (lookahead == 'U' || - lookahead == 'u') ADVANCE(656); + lookahead == 'u') ADVANCE(785); END_STATE(); - case 692: + case 823: if (lookahead == 'U' || - lookahead == 'u') ADVANCE(335); + lookahead == 'u') ADVANCE(399); END_STATE(); - case 693: + case 824: if (lookahead == 'U' || - lookahead == 'u') ADVANCE(336); + lookahead == 'u') ADVANCE(408); END_STATE(); - case 694: + case 825: if (lookahead == 'U' || - lookahead == 'u') ADVANCE(312); + lookahead == 'u') ADVANCE(409); END_STATE(); - case 695: + case 826: if (lookahead == 'U' || - lookahead == 'u') ADVANCE(666); + lookahead == 'u') ADVANCE(796); END_STATE(); - case 696: + case 827: if (lookahead == 'U' || - lookahead == 'u') ADVANCE(373); + lookahead == 'u') ADVANCE(452); END_STATE(); - case 697: + case 828: if (lookahead == 'U' || - lookahead == 'u') ADVANCE(300); + lookahead == 'u') ADVANCE(370); END_STATE(); - case 698: + case 829: if (lookahead == 'U' || - lookahead == 'u') ADVANCE(302); + lookahead == 'u') ADVANCE(372); END_STATE(); - case 699: + case 830: if (lookahead == 'U' || - lookahead == 'u') ADVANCE(470); + lookahead == 'u') ADVANCE(572); END_STATE(); - case 700: + case 831: if (lookahead == 'V' || - lookahead == 'v') ADVANCE(224); + lookahead == 'v') ADVANCE(413); END_STATE(); - case 701: + case 832: if (lookahead == 'V' || - lookahead == 'v') ADVANCE(248); - END_STATE(); - case 702: - if (lookahead == 'W' || - lookahead == 'w') ADVANCE(551); + lookahead == 'v') ADVANCE(280); END_STATE(); - case 703: - if (lookahead == 'X' || - lookahead == 'x') ADVANCE(270); + case 833: + if (lookahead == 'V' || + lookahead == 'v') ADVANCE(313); END_STATE(); - case 704: - if (lookahead == 'X' || - lookahead == 'x') ADVANCE(700); + case 834: + if (lookahead == 'W' || + lookahead == 'w') ADVANCE(666); END_STATE(); - case 705: + case 835: if (lookahead == 'X' || - lookahead == 'x') ADVANCE(271); + lookahead == 'x') ADVANCE(335); END_STATE(); - case 706: + case 836: if (lookahead == 'X' || - lookahead == 'x') ADVANCE(863); + lookahead == 'x') ADVANCE(337); END_STATE(); - case 707: + case 837: if (lookahead == 'X' || - lookahead == 'x') ADVANCE(441); + lookahead == 'x') ADVANCE(1013); END_STATE(); - case 708: - if (lookahead == 'X' || - lookahead == 'x') ADVANCE(269); + case 838: + if (lookahead == 'Y' || + lookahead == 'y') ADVANCE(1033); END_STATE(); - case 709: + case 839: if (lookahead == 'Y' || - lookahead == 'y') ADVANCE(886); + lookahead == 'y') ADVANCE(1036); END_STATE(); - case 710: + case 840: if (lookahead == 'Y' || - lookahead == 'y') ADVANCE(889); + lookahead == 'y') ADVANCE(898); END_STATE(); - case 711: + case 841: if (lookahead == 'Y' || - lookahead == 'y') ADVANCE(741); + lookahead == 'y') ADVANCE(1021); END_STATE(); - case 712: + case 842: if (lookahead == 'Y' || - lookahead == 'y') ADVANCE(874); + lookahead == 'y') ADVANCE(529); END_STATE(); - case 713: + case 843: + if (lookahead == 'Z' || + lookahead == 'z') ADVANCE(449); + END_STATE(); + case 844: if (lookahead != 0 && - lookahead != '$') ADVANCE(1187); + lookahead != '$') ADVANCE(1402); END_STATE(); - case 714: - if (eof) ADVANCE(728); - if (lookahead == '!') ADVANCE(79); - if (lookahead == '"') ADVANCE(1158); - if (lookahead == '$') ADVANCE(1228); - if (lookahead == '\'') ADVANCE(1170); - if (lookahead == '(') ADVANCE(818); - if (lookahead == '*') ADVANCE(1207); - if (lookahead == '+') ADVANCE(1197); - if (lookahead == '-') ADVANCE(1198); - if (lookahead == '/') ADVANCE(121); - if (lookahead == ';') ADVANCE(729); - if (lookahead == '@') ADVANCE(1203); - if (lookahead == '\\') ADVANCE(4); - if (lookahead == '`') ADVANCE(1148); - if (lookahead == '|') ADVANCE(126); - if (lookahead == '~') ADVANCE(1201); + case 845: + if (eof) ADVANCE(868); + if (lookahead == '!') ADVANCE(243); + if (lookahead == '"') ADVANCE(1373); + if (lookahead == '#') ADVANCE(1436); + if (lookahead == '%') ADVANCE(1430); + if (lookahead == '&') ADVANCE(1433); + if (lookahead == '(') ADVANCE(890); + if (lookahead == ')') ADVANCE(891); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(1420); + if (lookahead == '.') ADVANCE(1384); + if (lookahead == '/') ADVANCE(1429); + if (lookahead == ':') ADVANCE(182); + if (lookahead == ';') ADVANCE(869); + if (lookahead == '<') ADVANCE(1437); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '>') ADVANCE(1440); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') ADVANCE(12); + if (lookahead == ']') ADVANCE(1413); + if (lookahead == '^') ADVANCE(1427); + if (lookahead == '`') ADVANCE(1363); + if (lookahead == '|') ADVANCE(1435); + if (lookahead == '~') ADVANCE(1423); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(1026); + lookahead == 'a') ADVANCE(1212); if (lookahead == 'B' || - lookahead == 'b') ADVANCE(967); + lookahead == 'b') ADVANCE(1136); if (lookahead == 'C' || - lookahead == 'c') ADVANCE(1070); + lookahead == 'c') ADVANCE(1267); if (lookahead == 'D' || - lookahead == 'd') ADVANCE(1097); + lookahead == 'd') ADVANCE(1154); if (lookahead == 'F' || - lookahead == 'f') ADVANCE(929); + lookahead == 'f') ADVANCE(1298); if (lookahead == 'G' || - lookahead == 'g') ADVANCE(1087); + lookahead == 'g') ADVANCE(1286); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(1048); + lookahead == 'i') ADVANCE(1244); if (lookahead == 'J' || - lookahead == 'j') ADVANCE(1071); + lookahead == 'j') ADVANCE(1268); if (lookahead == 'L' || - lookahead == 'l') ADVANCE(968); + lookahead == 'l') ADVANCE(1137); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(1073); + lookahead == 'n') ADVANCE(1271); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(1088); + lookahead == 'o') ADVANCE(1293); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(1010); + lookahead == 'r') ADVANCE(1191); if (lookahead == 'S' || - lookahead == 's') ADVANCE(969); - if (lookahead == 'T' || - lookahead == 't') ADVANCE(1089); + lookahead == 's') ADVANCE(1138); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(1084); + lookahead == 'u') ADVANCE(1283); if (lookahead == 'W' || - lookahead == 'w') ADVANCE(1005); + lookahead == 'w') ADVANCE(1184); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -7488,67 +9309,65 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(714) - if (('0' <= lookahead && lookahead <= '9')) ADVANCE(927); - if (('E' <= lookahead && lookahead <= 'Z') || - ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + lookahead == 65279) SKIP(845) + if (('0' <= lookahead && lookahead <= '9') || + ('E' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 715: - if (eof) ADVANCE(728); - if (lookahead == '!') ADVANCE(190); - if (lookahead == '"') ADVANCE(1158); - if (lookahead == '#') ADVANCE(1215); - if (lookahead == '%') ADVANCE(1209); - if (lookahead == '&') ADVANCE(1212); - if (lookahead == '(') ADVANCE(818); - if (lookahead == ')') ADVANCE(820); - if (lookahead == '*') ADVANCE(1207); - if (lookahead == '+') ADVANCE(1197); - if (lookahead == ',') ADVANCE(819); - if (lookahead == '-') ADVANCE(1199); - if (lookahead == '.') ADVANCE(1169); - if (lookahead == '/') ADVANCE(1208); - if (lookahead == ':') ADVANCE(128); - if (lookahead == ';') ADVANCE(729); - if (lookahead == '<') ADVANCE(1216); - if (lookahead == '=') ADVANCE(817); - if (lookahead == '>') ADVANCE(1219); - if (lookahead == '[') ADVANCE(1191); - if (lookahead == '\\') ADVANCE(12); - if (lookahead == '^') ADVANCE(1206); - if (lookahead == '`') ADVANCE(1148); - if (lookahead == '|') ADVANCE(1214); - if (lookahead == '~') ADVANCE(1202); + case 846: + if (eof) ADVANCE(868); + if (lookahead == '!') ADVANCE(243); + if (lookahead == '"') ADVANCE(1373); + if (lookahead == '#') ADVANCE(1436); + if (lookahead == '%') ADVANCE(1430); + if (lookahead == '&') ADVANCE(1433); + if (lookahead == '(') ADVANCE(890); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(1420); + if (lookahead == '.') ADVANCE(1384); + if (lookahead == '/') ADVANCE(1429); + if (lookahead == ':') ADVANCE(182); + if (lookahead == ';') ADVANCE(869); + if (lookahead == '<') ADVANCE(1437); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '>') ADVANCE(1440); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') ADVANCE(18); + if (lookahead == '^') ADVANCE(1427); + if (lookahead == '`') ADVANCE(1363); + if (lookahead == '|') ADVANCE(1435); + if (lookahead == '~') ADVANCE(1423); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(1025); + lookahead == 'a') ADVANCE(1212); if (lookahead == 'B' || - lookahead == 'b') ADVANCE(967); + lookahead == 'b') ADVANCE(1136); if (lookahead == 'C' || - lookahead == 'c') ADVANCE(1070); + lookahead == 'c') ADVANCE(1267); if (lookahead == 'D' || - lookahead == 'd') ADVANCE(1097); + lookahead == 'd') ADVANCE(1154); if (lookahead == 'F' || - lookahead == 'f') ADVANCE(1098); + lookahead == 'f') ADVANCE(1345); if (lookahead == 'G' || - lookahead == 'g') ADVANCE(1087); + lookahead == 'g') ADVANCE(1286); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(1051); + lookahead == 'i') ADVANCE(1244); if (lookahead == 'J' || - lookahead == 'j') ADVANCE(1071); + lookahead == 'j') ADVANCE(1268); if (lookahead == 'L' || - lookahead == 'l') ADVANCE(968); + lookahead == 'l') ADVANCE(1137); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(1074); + lookahead == 'n') ADVANCE(1271); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(1094); + lookahead == 'o') ADVANCE(1293); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(1010); + lookahead == 'r') ADVANCE(1191); if (lookahead == 'S' || - lookahead == 's') ADVANCE(969); + lookahead == 's') ADVANCE(1138); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(1084); + lookahead == 'u') ADVANCE(1283); if (lookahead == 'W' || - lookahead == 'w') ADVANCE(1005); + lookahead == 'w') ADVANCE(1184); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -7556,66 +9375,60 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(715) + lookahead == 65279) SKIP(846) if (('0' <= lookahead && lookahead <= '9') || ('E' <= lookahead && lookahead <= 'Z') || - ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 716: - if (eof) ADVANCE(728); - if (lookahead == '!') ADVANCE(190); - if (lookahead == '"') ADVANCE(1158); - if (lookahead == '#') ADVANCE(1215); - if (lookahead == '%') ADVANCE(1209); - if (lookahead == '&') ADVANCE(1212); - if (lookahead == '(') ADVANCE(818); - if (lookahead == '*') ADVANCE(1207); - if (lookahead == '+') ADVANCE(1197); - if (lookahead == ',') ADVANCE(819); - if (lookahead == '-') ADVANCE(1199); - if (lookahead == '.') ADVANCE(1169); - if (lookahead == '/') ADVANCE(1208); - if (lookahead == ':') ADVANCE(128); - if (lookahead == ';') ADVANCE(729); - if (lookahead == '<') ADVANCE(1216); - if (lookahead == '=') ADVANCE(817); - if (lookahead == '>') ADVANCE(1219); - if (lookahead == '[') ADVANCE(1191); - if (lookahead == '\\') ADVANCE(16); - if (lookahead == '^') ADVANCE(1206); - if (lookahead == '`') ADVANCE(1148); - if (lookahead == '|') ADVANCE(1214); - if (lookahead == '~') ADVANCE(1202); + case 847: + if (eof) ADVANCE(868); + if (lookahead == '!') ADVANCE(243); + if (lookahead == '"') ADVANCE(1373); + if (lookahead == '#') ADVANCE(1436); + if (lookahead == '%') ADVANCE(1430); + if (lookahead == '&') ADVANCE(1433); + if (lookahead == '(') ADVANCE(890); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(1420); + if (lookahead == '.') ADVANCE(1384); + if (lookahead == '/') ADVANCE(1429); + if (lookahead == ':') ADVANCE(182); + if (lookahead == ';') ADVANCE(869); + if (lookahead == '<') ADVANCE(1437); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '>') ADVANCE(1440); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') ADVANCE(34); + if (lookahead == '^') ADVANCE(1427); + if (lookahead == '`') ADVANCE(1363); + if (lookahead == '|') ADVANCE(1435); + if (lookahead == '~') ADVANCE(1423); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(1025); + lookahead == 'a') ADVANCE(1212); if (lookahead == 'B' || - lookahead == 'b') ADVANCE(967); + lookahead == 'b') ADVANCE(1136); if (lookahead == 'C' || - lookahead == 'c') ADVANCE(1070); + lookahead == 'c') ADVANCE(1267); if (lookahead == 'D' || - lookahead == 'd') ADVANCE(1097); - if (lookahead == 'F' || - lookahead == 'f') ADVANCE(1133); + lookahead == 'd') ADVANCE(1154); if (lookahead == 'G' || - lookahead == 'g') ADVANCE(1087); + lookahead == 'g') ADVANCE(1301); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(1051); - if (lookahead == 'J' || - lookahead == 'j') ADVANCE(1071); - if (lookahead == 'L' || - lookahead == 'l') ADVANCE(968); + lookahead == 'i') ADVANCE(1246); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(1074); + lookahead == 'n') ADVANCE(1271); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(1094); + lookahead == 'o') ADVANCE(1295); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(1010); + lookahead == 'r') ADVANCE(1279); if (lookahead == 'S' || - lookahead == 's') ADVANCE(969); + lookahead == 's') ADVANCE(1138); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(1084); + lookahead == 'u') ADVANCE(1283); if (lookahead == 'W' || - lookahead == 'w') ADVANCE(1005); + lookahead == 'w') ADVANCE(1184); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -7623,61 +9436,69 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(716) + lookahead == 65279) SKIP(847) if (('0' <= lookahead && lookahead <= '9') || ('E' <= lookahead && lookahead <= 'Z') || - ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 717: - if (eof) ADVANCE(728); - if (lookahead == '!') ADVANCE(190); - if (lookahead == '#') ADVANCE(1215); - if (lookahead == '%') ADVANCE(1209); - if (lookahead == '&') ADVANCE(1212); - if (lookahead == '(') ADVANCE(818); - if (lookahead == ')') ADVANCE(820); - if (lookahead == '*') ADVANCE(1207); - if (lookahead == '+') ADVANCE(1197); - if (lookahead == ',') ADVANCE(819); - if (lookahead == '-') ADVANCE(1199); - if (lookahead == '.') ADVANCE(1169); - if (lookahead == '/') ADVANCE(1208); - if (lookahead == ':') ADVANCE(128); - if (lookahead == ';') ADVANCE(729); - if (lookahead == '<') ADVANCE(1216); - if (lookahead == '=') ADVANCE(817); - if (lookahead == '>') ADVANCE(1219); - if (lookahead == 'C') ADVANCE(167); - if (lookahead == '[') ADVANCE(1191); - if (lookahead == '\\') ADVANCE(22); - if (lookahead == '^') ADVANCE(1206); - if (lookahead == 'c') ADVANCE(414); - if (lookahead == '|') ADVANCE(1214); - if (lookahead == '~') ADVANCE(1202); + case 848: + if (eof) ADVANCE(868); + if (lookahead == '!') ADVANCE(243); + if (lookahead == '"') ADVANCE(1373); + if (lookahead == '#') ADVANCE(1436); + if (lookahead == '%') ADVANCE(1430); + if (lookahead == '&') ADVANCE(1433); + if (lookahead == '(') ADVANCE(890); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(1420); + if (lookahead == '.') ADVANCE(1383); + if (lookahead == '/') ADVANCE(1429); + if (lookahead == ';') ADVANCE(869); + if (lookahead == '<') ADVANCE(1437); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '>') ADVANCE(1440); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') ADVANCE(10); + if (lookahead == '^') ADVANCE(1427); + if (lookahead == '`') ADVANCE(1363); + if (lookahead == '|') ADVANCE(1435); + if (lookahead == '~') ADVANCE(1423); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(480); + lookahead == 'a') ADVANCE(1212); if (lookahead == 'B' || - lookahead == 'b') ADVANCE(305); + lookahead == 'b') ADVANCE(1136); + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(1267); if (lookahead == 'D' || - lookahead == 'd') ADVANCE(354); + lookahead == 'd') ADVANCE(1154); + if (lookahead == 'F' || + lookahead == 'f') ADVANCE(1298); if (lookahead == 'G' || - lookahead == 'g') ADVANCE(609); + lookahead == 'g') ADVANCE(1286); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(534); + lookahead == 'i') ADVANCE(1244); + if (lookahead == 'J' || + lookahead == 'j') ADVANCE(1268); + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(1137); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(578); + lookahead == 'n') ADVANCE(1271); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(518); + lookahead == 'o') ADVANCE(1293); if (lookahead == 'P' || - lookahead == 'p') ADVANCE(605); + lookahead == 'p') ADVANCE(1306); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(383); + lookahead == 'r') ADVANCE(1191); if (lookahead == 'S' || - lookahead == 's') ADVANCE(350); + lookahead == 's') ADVANCE(1138); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(550); + lookahead == 'u') ADVANCE(1283); + if (lookahead == 'V' || + lookahead == 'v') ADVANCE(1102); if (lookahead == 'W' || - lookahead == 'w') ADVANCE(426); + lookahead == 'w') ADVANCE(1185); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -7685,66 +9506,69 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(717) + lookahead == 65279) SKIP(848) + if (('0' <= lookahead && lookahead <= '9') || + ('E' <= lookahead && lookahead <= 'Z') || + ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 718: - if (eof) ADVANCE(728); - if (lookahead == '!') ADVANCE(190); - if (lookahead == '#') ADVANCE(1215); - if (lookahead == '%') ADVANCE(1209); - if (lookahead == '&') ADVANCE(1212); - if (lookahead == '(') ADVANCE(818); - if (lookahead == ')') ADVANCE(820); - if (lookahead == '*') ADVANCE(1207); - if (lookahead == '+') ADVANCE(1197); - if (lookahead == ',') ADVANCE(819); - if (lookahead == '-') ADVANCE(1199); - if (lookahead == '.') ADVANCE(1169); - if (lookahead == '/') ADVANCE(1208); - if (lookahead == ':') ADVANCE(128); - if (lookahead == ';') ADVANCE(729); - if (lookahead == '<') ADVANCE(1216); - if (lookahead == '=') ADVANCE(817); - if (lookahead == '>') ADVANCE(1219); - if (lookahead == 'C') ADVANCE(165); - if (lookahead == '[') ADVANCE(1191); - if (lookahead == '\\') ADVANCE(6); - if (lookahead == '^') ADVANCE(1206); - if (lookahead == 'c') ADVANCE(223); - if (lookahead == '|') ADVANCE(1214); - if (lookahead == '~') ADVANCE(1202); + case 849: + if (eof) ADVANCE(868); + if (lookahead == '!') ADVANCE(243); + if (lookahead == '"') ADVANCE(1373); + if (lookahead == '#') ADVANCE(1436); + if (lookahead == '%') ADVANCE(1430); + if (lookahead == '&') ADVANCE(1433); + if (lookahead == '(') ADVANCE(890); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(1420); + if (lookahead == '.') ADVANCE(1383); + if (lookahead == '/') ADVANCE(1429); + if (lookahead == ';') ADVANCE(869); + if (lookahead == '<') ADVANCE(1437); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '>') ADVANCE(1440); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') ADVANCE(108); + if (lookahead == '^') ADVANCE(1427); + if (lookahead == '`') ADVANCE(1363); + if (lookahead == '|') ADVANCE(1435); + if (lookahead == '~') ADVANCE(1423); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(480); + lookahead == 'a') ADVANCE(1212); if (lookahead == 'B' || - lookahead == 'b') ADVANCE(305); + lookahead == 'b') ADVANCE(1136); + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(1267); if (lookahead == 'D' || - lookahead == 'd') ADVANCE(342); - if (lookahead == 'E' || - lookahead == 'e') ADVANCE(707); + lookahead == 'd') ADVANCE(1154); if (lookahead == 'F' || - lookahead == 'f') ADVANCE(204); + lookahead == 'f') ADVANCE(1345); if (lookahead == 'G' || - lookahead == 'g') ADVANCE(596); + lookahead == 'g') ADVANCE(1286); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(533); + lookahead == 'i') ADVANCE(1244); if (lookahead == 'J' || - lookahead == 'j') ADVANCE(562); + lookahead == 'j') ADVANCE(1268); + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(1137); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(578); + lookahead == 'n') ADVANCE(1271); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(517); + lookahead == 'o') ADVANCE(1293); if (lookahead == 'P' || - lookahead == 'p') ADVANCE(605); + lookahead == 'p') ADVANCE(1306); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(353); + lookahead == 'r') ADVANCE(1191); if (lookahead == 'S' || - lookahead == 's') ADVANCE(344); - if (lookahead == 'T' || - lookahead == 't') ADVANCE(194); + lookahead == 's') ADVANCE(1138); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(550); + lookahead == 'u') ADVANCE(1283); + if (lookahead == 'V' || + lookahead == 'v') ADVANCE(1102); if (lookahead == 'W' || - lookahead == 'w') ADVANCE(426); + lookahead == 'w') ADVANCE(1185); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -7752,68 +9576,63 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(718) + lookahead == 65279) SKIP(849) + if (('0' <= lookahead && lookahead <= '9') || + ('E' <= lookahead && lookahead <= 'Z') || + ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 719: - if (eof) ADVANCE(728); - if (lookahead == '!') ADVANCE(190); - if (lookahead == '#') ADVANCE(1215); - if (lookahead == '%') ADVANCE(1209); - if (lookahead == '&') ADVANCE(1212); - if (lookahead == '(') ADVANCE(818); - if (lookahead == ')') ADVANCE(820); - if (lookahead == '*') ADVANCE(1207); - if (lookahead == '+') ADVANCE(1197); - if (lookahead == ',') ADVANCE(819); - if (lookahead == '-') ADVANCE(1199); - if (lookahead == '.') ADVANCE(1169); - if (lookahead == '/') ADVANCE(1208); - if (lookahead == ':') ADVANCE(128); - if (lookahead == ';') ADVANCE(729); - if (lookahead == '<') ADVANCE(1216); - if (lookahead == '=') ADVANCE(817); - if (lookahead == '>') ADVANCE(1219); - if (lookahead == '[') ADVANCE(1191); - if (lookahead == '\\') ADVANCE(8); - if (lookahead == '^') ADVANCE(1206); - if (lookahead == '|') ADVANCE(1214); - if (lookahead == '~') ADVANCE(1202); + case 850: + if (eof) ADVANCE(868); + if (lookahead == '!') ADVANCE(243); + if (lookahead == '"') ADVANCE(1373); + if (lookahead == '#') ADVANCE(1436); + if (lookahead == '%') ADVANCE(1430); + if (lookahead == '&') ADVANCE(1433); + if (lookahead == '(') ADVANCE(890); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(1420); + if (lookahead == '.') ADVANCE(1383); + if (lookahead == '/') ADVANCE(1429); + if (lookahead == ';') ADVANCE(869); + if (lookahead == '<') ADVANCE(1437); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '>') ADVANCE(1440); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') ADVANCE(32); + if (lookahead == '^') ADVANCE(1427); + if (lookahead == '`') ADVANCE(1363); + if (lookahead == '|') ADVANCE(1435); + if (lookahead == '~') ADVANCE(1423); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(479); + lookahead == 'a') ADVANCE(1212); if (lookahead == 'B' || - lookahead == 'b') ADVANCE(305); + lookahead == 'b') ADVANCE(1136); if (lookahead == 'C' || - lookahead == 'c') ADVANCE(243); + lookahead == 'c') ADVANCE(1267); if (lookahead == 'D' || - lookahead == 'd') ADVANCE(599); - if (lookahead == 'E' || - lookahead == 'e') ADVANCE(708); - if (lookahead == 'F' || - lookahead == 'f') ADVANCE(568); + lookahead == 'd') ADVANCE(1154); if (lookahead == 'G' || - lookahead == 'g') ADVANCE(596); + lookahead == 'g') ADVANCE(1301); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(507); - if (lookahead == 'J' || - lookahead == 'j') ADVANCE(562); - if (lookahead == 'L' || - lookahead == 'l') ADVANCE(197); + lookahead == 'i') ADVANCE(1246); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(578); + lookahead == 'n') ADVANCE(1271); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(588); + lookahead == 'o') ADVANCE(1295); if (lookahead == 'P' || - lookahead == 'p') ADVANCE(212); + lookahead == 'p') ADVANCE(1306); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(346); + lookahead == 'r') ADVANCE(1279); if (lookahead == 'S' || - lookahead == 's') ADVANCE(209); + lookahead == 's') ADVANCE(1138); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(520); + lookahead == 'u') ADVANCE(1283); if (lookahead == 'V' || - lookahead == 'v') ADVANCE(561); + lookahead == 'v') ADVANCE(1102); if (lookahead == 'W' || - lookahead == 'w') ADVANCE(418); + lookahead == 'w') ADVANCE(1185); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -7821,57 +9640,65 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(719) + lookahead == 65279) SKIP(850) + if (('0' <= lookahead && lookahead <= '9') || + ('E' <= lookahead && lookahead <= 'Z') || + ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 720: - if (eof) ADVANCE(728); - if (lookahead == '!') ADVANCE(190); - if (lookahead == '#') ADVANCE(1215); - if (lookahead == '%') ADVANCE(1209); - if (lookahead == '&') ADVANCE(1212); - if (lookahead == '(') ADVANCE(818); - if (lookahead == '*') ADVANCE(1207); - if (lookahead == '+') ADVANCE(1197); - if (lookahead == ',') ADVANCE(819); - if (lookahead == '-') ADVANCE(1199); - if (lookahead == '.') ADVANCE(1169); - if (lookahead == '/') ADVANCE(1208); - if (lookahead == ':') ADVANCE(128); - if (lookahead == ';') ADVANCE(729); - if (lookahead == '<') ADVANCE(1216); - if (lookahead == '=') ADVANCE(817); - if (lookahead == '>') ADVANCE(1219); - if (lookahead == 'C') ADVANCE(167); - if (lookahead == '[') ADVANCE(1191); - if (lookahead == '\\') ADVANCE(10); - if (lookahead == '^') ADVANCE(1206); - if (lookahead == 'c') ADVANCE(414); - if (lookahead == '|') ADVANCE(1214); - if (lookahead == '~') ADVANCE(1202); + case 851: + if (eof) ADVANCE(868); + if (lookahead == '!') ADVANCE(243); + if (lookahead == '#') ADVANCE(1436); + if (lookahead == '%') ADVANCE(1430); + if (lookahead == '&') ADVANCE(1433); + if (lookahead == '(') ADVANCE(890); + if (lookahead == ')') ADVANCE(891); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(1420); + if (lookahead == '.') ADVANCE(1384); + if (lookahead == '/') ADVANCE(1429); + if (lookahead == ':') ADVANCE(182); + if (lookahead == ';') ADVANCE(869); + if (lookahead == '<') ADVANCE(1437); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '>') ADVANCE(1440); + if (lookahead == 'C') ADVANCE(220); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') ADVANCE(16); + if (lookahead == '^') ADVANCE(1427); + if (lookahead == 'c') ADVANCE(504); + if (lookahead == '|') ADVANCE(1435); + if (lookahead == '~') ADVANCE(1423); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(480); + lookahead == 'a') ADVANCE(584); if (lookahead == 'B' || - lookahead == 'b') ADVANCE(305); + lookahead == 'b') ADVANCE(375); if (lookahead == 'D' || - lookahead == 'd') ADVANCE(343); + lookahead == 'd') ADVANCE(425); + if (lookahead == 'F' || + lookahead == 'f') ADVANCE(718); if (lookahead == 'G' || - lookahead == 'g') ADVANCE(609); + lookahead == 'g') ADVANCE(728); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(533); + lookahead == 'i') ADVANCE(645); + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(520); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(578); + lookahead == 'n') ADVANCE(695); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(595); + lookahead == 'o') ADVANCE(625); if (lookahead == 'P' || - lookahead == 'p') ADVANCE(605); + lookahead == 'p') ADVANCE(724); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(383); + lookahead == 'r') ADVANCE(463); if (lookahead == 'S' || - lookahead == 's') ADVANCE(350); + lookahead == 's') ADVANCE(423); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(550); + lookahead == 'u') ADVANCE(665); if (lookahead == 'W' || - lookahead == 'w') ADVANCE(416); + lookahead == 'w') ADVANCE(512); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -7879,58 +9706,258 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(720) + lookahead == 65279) SKIP(851) END_STATE(); - case 721: - if (eof) ADVANCE(728); - if (lookahead == '!') ADVANCE(190); - if (lookahead == '#') ADVANCE(1215); - if (lookahead == '%') ADVANCE(1209); - if (lookahead == '&') ADVANCE(1212); - if (lookahead == '(') ADVANCE(818); - if (lookahead == '*') ADVANCE(1207); - if (lookahead == '+') ADVANCE(1197); - if (lookahead == ',') ADVANCE(819); - if (lookahead == '-') ADVANCE(1198); - if (lookahead == '/') ADVANCE(1208); - if (lookahead == ':') ADVANCE(128); - if (lookahead == ';') ADVANCE(729); - if (lookahead == '<') ADVANCE(1216); - if (lookahead == '=') ADVANCE(817); - if (lookahead == '>') ADVANCE(1219); - if (lookahead == '[') ADVANCE(1191); + case 852: + if (eof) ADVANCE(868); + if (lookahead == '!') ADVANCE(243); + if (lookahead == '#') ADVANCE(1436); + if (lookahead == '%') ADVANCE(1430); + if (lookahead == '&') ADVANCE(1433); + if (lookahead == '(') ADVANCE(890); + if (lookahead == ')') ADVANCE(891); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(1420); + if (lookahead == '.') ADVANCE(1384); + if (lookahead == '/') ADVANCE(1429); + if (lookahead == ':') ADVANCE(182); + if (lookahead == ';') ADVANCE(869); + if (lookahead == '<') ADVANCE(1437); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '>') ADVANCE(1440); + if (lookahead == 'C') ADVANCE(220); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') ADVANCE(42); + if (lookahead == '^') ADVANCE(1427); + if (lookahead == 'c') ADVANCE(504); + if (lookahead == '|') ADVANCE(1435); + if (lookahead == '~') ADVANCE(1423); + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(584); + if (lookahead == 'B' || + lookahead == 'b') ADVANCE(375); + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(471); + if (lookahead == 'G' || + lookahead == 'g') ADVANCE(728); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(647); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(695); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(625); + if (lookahead == 'P' || + lookahead == 'p') ADVANCE(724); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(463); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(423); + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(665); + if (lookahead == 'W' || + lookahead == 'w') ADVANCE(535); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\f' || + lookahead == '\r' || + lookahead == ' ' || + lookahead == 8203 || + lookahead == 8288 || + lookahead == 65279) SKIP(852) + END_STATE(); + case 853: + if (eof) ADVANCE(868); + if (lookahead == '!') ADVANCE(243); + if (lookahead == '#') ADVANCE(1436); + if (lookahead == '%') ADVANCE(1430); + if (lookahead == '&') ADVANCE(1433); + if (lookahead == '(') ADVANCE(890); + if (lookahead == ')') ADVANCE(891); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(1420); + if (lookahead == '.') ADVANCE(1384); + if (lookahead == '/') ADVANCE(1429); + if (lookahead == ':') ADVANCE(182); + if (lookahead == ';') ADVANCE(869); + if (lookahead == '<') ADVANCE(1437); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '>') ADVANCE(1440); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') ADVANCE(4); + if (lookahead == '^') ADVANCE(1427); + if (lookahead == '|') ADVANCE(1435); + if (lookahead == '~') ADVANCE(1423); + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(583); + if (lookahead == 'B' || + lookahead == 'b') ADVANCE(375); + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(304); + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(469); + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(835); + if (lookahead == 'F' || + lookahead == 'f') ADVANCE(256); + if (lookahead == 'G' || + lookahead == 'g') ADVANCE(713); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(611); + if (lookahead == 'J' || + lookahead == 'j') ADVANCE(677); + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(290); + if (lookahead == 'M' || + lookahead == 'm') ADVANCE(310); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(695); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(476); + if (lookahead == 'P' || + lookahead == 'p') ADVANCE(260); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(415); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(264); + if (lookahead == 'T' || + lookahead == 't') ADVANCE(247); + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(628); + if (lookahead == 'V' || + lookahead == 'v') ADVANCE(297); + if (lookahead == 'W' || + lookahead == 'w') ADVANCE(513); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\f' || + lookahead == '\r' || + lookahead == ' ' || + lookahead == 8203 || + lookahead == 8288 || + lookahead == 65279) SKIP(853) + END_STATE(); + case 854: + if (eof) ADVANCE(868); + if (lookahead == '!') ADVANCE(243); + if (lookahead == '#') ADVANCE(1436); + if (lookahead == '%') ADVANCE(1430); + if (lookahead == '&') ADVANCE(1433); + if (lookahead == '(') ADVANCE(890); + if (lookahead == ')') ADVANCE(891); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(1420); + if (lookahead == '.') ADVANCE(1383); + if (lookahead == '/') ADVANCE(1429); + if (lookahead == ':') ADVANCE(182); + if (lookahead == ';') ADVANCE(869); + if (lookahead == '<') ADVANCE(1437); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '>') ADVANCE(1440); + if (lookahead == 'C') ADVANCE(218); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') ADVANCE(14); + if (lookahead == '^') ADVANCE(1427); + if (lookahead == 'c') ADVANCE(277); + if (lookahead == '|') ADVANCE(1435); + if (lookahead == '~') ADVANCE(1423); + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(584); + if (lookahead == 'B' || + lookahead == 'b') ADVANCE(375); + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(425); + if (lookahead == 'F' || + lookahead == 'f') ADVANCE(718); + if (lookahead == 'G' || + lookahead == 'g') ADVANCE(728); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(645); + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(520); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(695); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(625); + if (lookahead == 'P' || + lookahead == 'p') ADVANCE(715); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(417); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(423); + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(665); + if (lookahead == 'V' || + lookahead == 'v') ADVANCE(287); + if (lookahead == 'W' || + lookahead == 'w') ADVANCE(511); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\f' || + lookahead == '\r' || + lookahead == ' ' || + lookahead == 8203 || + lookahead == 8288 || + lookahead == 65279) SKIP(854) + END_STATE(); + case 855: + if (eof) ADVANCE(868); + if (lookahead == '!') ADVANCE(243); + if (lookahead == '#') ADVANCE(1436); + if (lookahead == '%') ADVANCE(1430); + if (lookahead == '&') ADVANCE(1433); + if (lookahead == '(') ADVANCE(890); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(1420); + if (lookahead == '.') ADVANCE(1384); + if (lookahead == '/') ADVANCE(1429); + if (lookahead == ':') ADVANCE(182); + if (lookahead == ';') ADVANCE(869); + if (lookahead == '<') ADVANCE(1437); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '>') ADVANCE(1440); + if (lookahead == '[') ADVANCE(1412); if (lookahead == '\\') ADVANCE(20); - if (lookahead == '^') ADVANCE(1206); - if (lookahead == '|') ADVANCE(1214); - if (lookahead == '~') ADVANCE(1202); + if (lookahead == '^') ADVANCE(1427); + if (lookahead == '|') ADVANCE(1435); + if (lookahead == '~') ADVANCE(1423); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(479); + lookahead == 'a') ADVANCE(583); if (lookahead == 'B' || - lookahead == 'b') ADVANCE(305); + lookahead == 'b') ADVANCE(375); if (lookahead == 'C' || - lookahead == 'c') ADVANCE(244); + lookahead == 'c') ADVANCE(305); if (lookahead == 'D' || - lookahead == 'd') ADVANCE(599); + lookahead == 'd') ADVANCE(470); if (lookahead == 'G' || - lookahead == 'g') ADVANCE(596); + lookahead == 'g') ADVANCE(713); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(506); + lookahead == 'i') ADVANCE(612); if (lookahead == 'L' || - lookahead == 'l') ADVANCE(196); + lookahead == 'l') ADVANCE(291); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(578); + lookahead == 'n') ADVANCE(695); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(588); + lookahead == 'o') ADVANCE(477); if (lookahead == 'P' || - lookahead == 'p') ADVANCE(210); + lookahead == 'p') ADVANCE(258); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(347); + lookahead == 'r') ADVANCE(416); if (lookahead == 'S' || - lookahead == 's') ADVANCE(209); + lookahead == 's') ADVANCE(266); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(556); + lookahead == 'u') ADVANCE(671); if (lookahead == 'V' || - lookahead == 'v') ADVANCE(561); + lookahead == 'v') ADVANCE(676); + if (lookahead == 'W' || + lookahead == 'w') ADVANCE(535); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -7938,37 +9965,124 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(721) + lookahead == 65279) SKIP(855) END_STATE(); - case 722: - if (eof) ADVANCE(728); - if (lookahead == '"') ADVANCE(1158); - if (lookahead == '-') ADVANCE(124); - if (lookahead == '.') ADVANCE(1168); - if (lookahead == '/') ADVANCE(121); - if (lookahead == ';') ADVANCE(729); - if (lookahead == '\\') ADVANCE(46); - if (lookahead == '`') ADVANCE(1148); + case 856: + if (eof) ADVANCE(868); + if (lookahead == '!') ADVANCE(243); + if (lookahead == '#') ADVANCE(1436); + if (lookahead == '%') ADVANCE(1430); + if (lookahead == '&') ADVANCE(1433); + if (lookahead == '(') ADVANCE(890); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(1420); + if (lookahead == '.') ADVANCE(1383); + if (lookahead == '/') ADVANCE(1429); + if (lookahead == ';') ADVANCE(869); + if (lookahead == '<') ADVANCE(1437); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '>') ADVANCE(1440); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') ADVANCE(8); + if (lookahead == '^') ADVANCE(1427); + if (lookahead == '|') ADVANCE(1435); + if (lookahead == '~') ADVANCE(1423); + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(583); + if (lookahead == 'B' || + lookahead == 'b') ADVANCE(375); + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(306); + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(470); + if (lookahead == 'G' || + lookahead == 'g') ADVANCE(713); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(612); + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(291); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(695); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(705); + if (lookahead == 'P' || + lookahead == 'p') ADVANCE(261); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(416); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(266); + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(671); + if (lookahead == 'V' || + lookahead == 'v') ADVANCE(288); + if (lookahead == 'W' || + lookahead == 'w') ADVANCE(521); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\f' || + lookahead == '\r' || + lookahead == ' ' || + lookahead == 8203 || + lookahead == 8288 || + lookahead == 65279) SKIP(856) + END_STATE(); + case 857: + if (eof) ADVANCE(868); + if (lookahead == '!') ADVANCE(243); + if (lookahead == '#') ADVANCE(1436); + if (lookahead == '%') ADVANCE(1430); + if (lookahead == '&') ADVANCE(1433); + if (lookahead == '(') ADVANCE(890); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == '-') ADVANCE(1420); + if (lookahead == '.') ADVANCE(1383); + if (lookahead == '/') ADVANCE(1429); + if (lookahead == ';') ADVANCE(869); + if (lookahead == '<') ADVANCE(1437); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '>') ADVANCE(1440); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') ADVANCE(26); + if (lookahead == '^') ADVANCE(1427); + if (lookahead == '|') ADVANCE(1435); + if (lookahead == '~') ADVANCE(1423); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(1026); + lookahead == 'a') ADVANCE(585); if (lookahead == 'B' || - lookahead == 'b') ADVANCE(967); + lookahead == 'b') ADVANCE(375); if (lookahead == 'C' || - lookahead == 'c') ADVANCE(1070); + lookahead == 'c') ADVANCE(687); if (lookahead == 'D' || - lookahead == 'd') ADVANCE(1097); + lookahead == 'd') ADVANCE(470); + if (lookahead == 'F' || + lookahead == 'f') ADVANCE(830); if (lookahead == 'G' || - lookahead == 'g') ADVANCE(1101); + lookahead == 'g') ADVANCE(713); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(1066); + lookahead == 'i') ADVANCE(644); + if (lookahead == 'J' || + lookahead == 'j') ADVANCE(677); + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(378); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(694); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(705); + if (lookahead == 'P' || + lookahead == 'p') ADVANCE(735); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(1081); + lookahead == 'r') ADVANCE(518); if (lookahead == 'S' || - lookahead == 's') ADVANCE(969); + lookahead == 's') ADVANCE(423); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(1084); + lookahead == 'u') ADVANCE(701); + if (lookahead == 'V' || + lookahead == 'v') ADVANCE(287); if (lookahead == 'W' || - lookahead == 'w') ADVANCE(1021); + lookahead == 'w') ADVANCE(511); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -7976,37 +10090,215 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(722) - if (('0' <= lookahead && lookahead <= '9') || - ('E' <= lookahead && lookahead <= 'Z') || - ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + lookahead == 65279) SKIP(857) END_STATE(); - case 723: - if (eof) ADVANCE(728); - if (lookahead == '"') ADVANCE(1158); - if (lookahead == '-') ADVANCE(124); - if (lookahead == '/') ADVANCE(121); - if (lookahead == ';') ADVANCE(729); - if (lookahead == '\\') ADVANCE(50); - if (lookahead == '`') ADVANCE(1148); + case 858: + if (eof) ADVANCE(868); + if (lookahead == '!') ADVANCE(243); + if (lookahead == '#') ADVANCE(1436); + if (lookahead == '%') ADVANCE(1430); + if (lookahead == '&') ADVANCE(1433); + if (lookahead == '(') ADVANCE(890); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == '-') ADVANCE(1420); + if (lookahead == '.') ADVANCE(1383); + if (lookahead == '/') ADVANCE(1429); + if (lookahead == ';') ADVANCE(869); + if (lookahead == '<') ADVANCE(1437); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '>') ADVANCE(1440); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') ADVANCE(36); + if (lookahead == '^') ADVANCE(1427); + if (lookahead == '|') ADVANCE(1435); + if (lookahead == '~') ADVANCE(1423); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(1026); + lookahead == 'a') ADVANCE(585); if (lookahead == 'B' || - lookahead == 'b') ADVANCE(967); + lookahead == 'b') ADVANCE(375); if (lookahead == 'C' || - lookahead == 'c') ADVANCE(1070); + lookahead == 'c') ADVANCE(687); if (lookahead == 'D' || - lookahead == 'd') ADVANCE(1097); + lookahead == 'd') ADVANCE(419); if (lookahead == 'G' || - lookahead == 'g') ADVANCE(1101); + lookahead == 'g') ADVANCE(728); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(1066); + lookahead == 'i') ADVANCE(647); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(694); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(712); + if (lookahead == 'P' || + lookahead == 'p') ADVANCE(735); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(697); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(423); + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(701); + if (lookahead == 'V' || + lookahead == 'v') ADVANCE(287); + if (lookahead == 'W' || + lookahead == 'w') ADVANCE(521); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\f' || + lookahead == '\r' || + lookahead == ' ' || + lookahead == 8203 || + lookahead == 8288 || + lookahead == 65279) SKIP(858) + END_STATE(); + case 859: + if (eof) ADVANCE(868); + if (lookahead == '!') ADVANCE(243); + if (lookahead == '#') ADVANCE(1436); + if (lookahead == '%') ADVANCE(1430); + if (lookahead == '&') ADVANCE(1433); + if (lookahead == ')') ADVANCE(891); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(1420); + if (lookahead == '/') ADVANCE(1429); + if (lookahead == ':') ADVANCE(182); + if (lookahead == ';') ADVANCE(869); + if (lookahead == '<') ADVANCE(1437); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '>') ADVANCE(1440); + if (lookahead == 'C') ADVANCE(220); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') ADVANCE(22); + if (lookahead == '^') ADVANCE(1427); + if (lookahead == 'c') ADVANCE(504); + if (lookahead == '|') ADVANCE(1435); + if (lookahead == '~') ADVANCE(1423); + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(584); + if (lookahead == 'B' || + lookahead == 'b') ADVANCE(375); + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(425); + if (lookahead == 'G' || + lookahead == 'g') ADVANCE(713); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(645); + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(520); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(695); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(705); + if (lookahead == 'P' || + lookahead == 'p') ADVANCE(724); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(463); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(423); + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(665); + if (lookahead == 'W' || + lookahead == 'w') ADVANCE(535); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\f' || + lookahead == '\r' || + lookahead == ' ' || + lookahead == 8203 || + lookahead == 8288 || + lookahead == 65279) SKIP(859) + END_STATE(); + case 860: + if (eof) ADVANCE(868); + if (lookahead == '!') ADVANCE(115); + if (lookahead == '"') ADVANCE(1373); + if (lookahead == '$') ADVANCE(1449); + if (lookahead == '\'') ADVANCE(1385); + if (lookahead == '(') ADVANCE(890); + if (lookahead == '*') ADVANCE(1428); + if (lookahead == '+') ADVANCE(1418); + if (lookahead == '-') ADVANCE(1419); + if (lookahead == '/') ADVANCE(175); + if (lookahead == ';') ADVANCE(869); + if (lookahead == '@') ADVANCE(1424); + if (lookahead == '\\') ADVANCE(6); + if (lookahead == '`') ADVANCE(1363); + if (lookahead == '|') ADVANCE(180); + if (lookahead == '~') ADVANCE(1422); + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(1213); + if (lookahead == 'B' || + lookahead == 'b') ADVANCE(1136); + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(1089); + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(1154); + if (lookahead == 'F' || + lookahead == 'f') ADVANCE(1096); + if (lookahead == 'G' || + lookahead == 'g') ADVANCE(1286); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(1241); + if (lookahead == 'J' || + lookahead == 'j') ADVANCE(1268); + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(1112); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(1270); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(1287); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(1191); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(1138); + if (lookahead == 'T' || + lookahead == 't') ADVANCE(1288); + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(1283); + if (lookahead == 'W' || + lookahead == 'w') ADVANCE(1184); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\f' || + lookahead == '\r' || + lookahead == ' ' || + lookahead == 8203 || + lookahead == 8288 || + lookahead == 65279) SKIP(860) + if (('0' <= lookahead && lookahead <= '9')) ADVANCE(1088); + if (('E' <= lookahead && lookahead <= 'Z') || + ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 861: + if (eof) ADVANCE(868); + if (lookahead == '"') ADVANCE(1373); + if (lookahead == '-') ADVANCE(178); + if (lookahead == '.') ADVANCE(1383); + if (lookahead == '/') ADVANCE(175); + if (lookahead == ';') ADVANCE(869); + if (lookahead == '\\') ADVANCE(78); + if (lookahead == '`') ADVANCE(1363); + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(1213); + if (lookahead == 'B' || + lookahead == 'b') ADVANCE(1136); + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(1267); + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(1154); + if (lookahead == 'G' || + lookahead == 'g') ADVANCE(1301); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(1263); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(1081); + lookahead == 'r') ADVANCE(1279); if (lookahead == 'S' || - lookahead == 's') ADVANCE(969); + lookahead == 's') ADVANCE(1138); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(1084); + lookahead == 'u') ADVANCE(1283); + if (lookahead == 'W' || + lookahead == 'w') ADVANCE(1196); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -8014,63 +10306,63 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(723) + lookahead == 65279) SKIP(861) if (('0' <= lookahead && lookahead <= '9') || ('E' <= lookahead && lookahead <= 'Z') || - ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('_' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 724: - if (eof) ADVANCE(728); - if (lookahead == '&') ADVANCE(117); - if (lookahead == '(') ADVANCE(818); - if (lookahead == ')') ADVANCE(820); - if (lookahead == ',') ADVANCE(819); - if (lookahead == '-') ADVANCE(124); - if (lookahead == '.') ADVANCE(1168); - if (lookahead == '/') ADVANCE(121); - if (lookahead == ';') ADVANCE(729); - if (lookahead == '=') ADVANCE(817); - if (lookahead == '[') ADVANCE(1191); - if (lookahead == '\\') ADVANCE(14); - if (lookahead == '|') ADVANCE(189); + case 862: + if (eof) ADVANCE(868); + if (lookahead == '&') ADVANCE(169); + if (lookahead == '(') ADVANCE(890); + if (lookahead == ')') ADVANCE(891); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(178); + if (lookahead == '.') ADVANCE(1383); + if (lookahead == '/') ADVANCE(175); + if (lookahead == ':') ADVANCE(182); + if (lookahead == ';') ADVANCE(869); + if (lookahead == '=') ADVANCE(972); + if (lookahead == '\\') ADVANCE(24); + if (lookahead == '|') ADVANCE(242); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(291); + lookahead == 'a') ADVANCE(367); if (lookahead == 'B' || - lookahead == 'b') ADVANCE(305); + lookahead == 'b') ADVANCE(375); if (lookahead == 'C' || - lookahead == 'c') ADVANCE(201); + lookahead == 'c') ADVANCE(253); if (lookahead == 'D' || - lookahead == 'd') ADVANCE(386); + lookahead == 'd') ADVANCE(418); if (lookahead == 'E' || - lookahead == 'e') ADVANCE(705); + lookahead == 'e') ADVANCE(836); if (lookahead == 'F' || - lookahead == 'f') ADVANCE(567); + lookahead == 'f') ADVANCE(683); if (lookahead == 'G' || - lookahead == 'g') ADVANCE(596); + lookahead == 'g') ADVANCE(713); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(508); + lookahead == 'i') ADVANCE(613); if (lookahead == 'J' || - lookahead == 'j') ADVANCE(562); + lookahead == 'j') ADVANCE(677); if (lookahead == 'L' || - lookahead == 'l') ADVANCE(197); + lookahead == 'l') ADVANCE(290); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(563); + lookahead == 'n') ADVANCE(678); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(519); + lookahead == 'o') ADVANCE(626); if (lookahead == 'P' || - lookahead == 'p') ADVANCE(212); + lookahead == 'p') ADVANCE(260); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(345); + lookahead == 'r') ADVANCE(420); if (lookahead == 'S' || - lookahead == 's') ADVANCE(208); + lookahead == 's') ADVANCE(263); if (lookahead == 'T' || - lookahead == 't') ADVANCE(193); + lookahead == 't') ADVANCE(246); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(522); + lookahead == 'u') ADVANCE(630); if (lookahead == 'V' || - lookahead == 'v') ADVANCE(235); + lookahead == 'v') ADVANCE(297); if (lookahead == 'W' || - lookahead == 'w') ADVANCE(418); + lookahead == 'w') ADVANCE(512); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -8078,48 +10370,50 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(724) + lookahead == 65279) SKIP(862) END_STATE(); - case 725: - if (eof) ADVANCE(728); - if (lookahead == '(') ADVANCE(818); - if (lookahead == ')') ADVANCE(820); - if (lookahead == ',') ADVANCE(819); - if (lookahead == '-') ADVANCE(124); - if (lookahead == '/') ADVANCE(121); - if (lookahead == ';') ADVANCE(729); - if (lookahead == '=') ADVANCE(817); - if (lookahead == 'C') ADVANCE(166); - if (lookahead == 'E') ADVANCE(187); - if (lookahead == 'I') ADVANCE(159); - if (lookahead == 'T') ADVANCE(132); - if (lookahead == 'V') ADVANCE(146); - if (lookahead == '[') ADVANCE(1191); - if (lookahead == '\\') ADVANCE(42); - if (lookahead == 'c') ADVANCE(225); - if (lookahead == 'i') ADVANCE(538); + case 863: + if (eof) ADVANCE(868); + if (lookahead == '(') ADVANCE(890); + if (lookahead == ')') ADVANCE(891); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(178); + if (lookahead == '.') ADVANCE(1383); + if (lookahead == '/') ADVANCE(175); + if (lookahead == ';') ADVANCE(869); + if (lookahead == '=') ADVANCE(972); + if (lookahead == 'C') ADVANCE(219); + if (lookahead == 'E') ADVANCE(240); + if (lookahead == 'I') ADVANCE(212); + if (lookahead == 'T') ADVANCE(185); + if (lookahead == 'V') ADVANCE(200); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') ADVANCE(66); + if (lookahead == 'c') ADVANCE(281); + if (lookahead == 'i') ADVANCE(650); + if (lookahead == 'v') ADVANCE(287); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(482); + lookahead == 'a') ADVANCE(587); if (lookahead == 'B' || - lookahead == 'b') ADVANCE(305); + lookahead == 'b') ADVANCE(375); if (lookahead == 'D' || - lookahead == 'd') ADVANCE(343); + lookahead == 'd') ADVANCE(425); if (lookahead == 'G' || - lookahead == 'g') ADVANCE(609); + lookahead == 'g') ADVANCE(728); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(563); + lookahead == 'n') ADVANCE(679); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(702); + lookahead == 'o') ADVANCE(627); if (lookahead == 'P' || - lookahead == 'p') ADVANCE(605); + lookahead == 'p') ADVANCE(715); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(383); + lookahead == 'r') ADVANCE(463); if (lookahead == 'S' || - lookahead == 's') ADVANCE(351); + lookahead == 's') ADVANCE(424); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(550); + lookahead == 'u') ADVANCE(665); if (lookahead == 'W' || - lookahead == 'w') ADVANCE(426); + lookahead == 'w') ADVANCE(521); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -8127,40 +10421,47 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(725) + lookahead == 65279) SKIP(863) END_STATE(); - case 726: - if (eof) ADVANCE(728); - if (lookahead == ')') ADVANCE(820); - if (lookahead == ',') ADVANCE(819); - if (lookahead == '-') ADVANCE(124); - if (lookahead == '/') ADVANCE(121); - if (lookahead == ';') ADVANCE(729); - if (lookahead == 'C') ADVANCE(167); - if (lookahead == '\\') ADVANCE(44); - if (lookahead == 'c') ADVANCE(414); + case 864: + if (eof) ADVANCE(868); + if (lookahead == '(') ADVANCE(890); + if (lookahead == '-') ADVANCE(178); + if (lookahead == '.') ADVANCE(1383); + if (lookahead == '/') ADVANCE(175); + if (lookahead == ';') ADVANCE(869); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') ADVANCE(70); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(482); + lookahead == 'a') ADVANCE(586); if (lookahead == 'B' || - lookahead == 'b') ADVANCE(305); + lookahead == 'b') ADVANCE(375); + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(253); if (lookahead == 'D' || - lookahead == 'd') ADVANCE(343); + lookahead == 'd') ADVANCE(470); if (lookahead == 'G' || - lookahead == 'g') ADVANCE(609); + lookahead == 'g') ADVANCE(728); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(539); + lookahead == 'i') ADVANCE(614); + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(289); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(578); + lookahead == 'n') ADVANCE(679); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(834); if (lookahead == 'P' || - lookahead == 'p') ADVANCE(605); + lookahead == 'p') ADVANCE(261); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(383); + lookahead == 'r') ADVANCE(416); if (lookahead == 'S' || - lookahead == 's') ADVANCE(350); + lookahead == 's') ADVANCE(265); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(550); + lookahead == 'u') ADVANCE(671); + if (lookahead == 'V' || + lookahead == 'v') ADVANCE(288); if (lookahead == 'W' || - lookahead == 'w') ADVANCE(426); + lookahead == 'w') ADVANCE(521); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -8168,36 +10469,124 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(726) + lookahead == 65279) SKIP(864) END_STATE(); - case 727: - if (eof) ADVANCE(728); - if (lookahead == '-') ADVANCE(124); - if (lookahead == '/') ADVANCE(121); - if (lookahead == ';') ADVANCE(729); - if (lookahead == '\\') ADVANCE(48); + case 865: + if (eof) ADVANCE(868); + if (lookahead == ')') ADVANCE(891); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(178); + if (lookahead == '/') ADVANCE(175); + if (lookahead == ';') ADVANCE(869); + if (lookahead == '=') ADVANCE(972); + if (lookahead == 'C') ADVANCE(219); + if (lookahead == '[') ADVANCE(1412); + if (lookahead == '\\') ADVANCE(72); + if (lookahead == 'c') ADVANCE(281); + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(587); + if (lookahead == 'B' || + lookahead == 'b') ADVANCE(375); + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(425); + if (lookahead == 'G' || + lookahead == 'g') ADVANCE(728); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(650); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(679); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(834); + if (lookahead == 'P' || + lookahead == 'p') ADVANCE(724); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(463); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(424); + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(665); + if (lookahead == 'W' || + lookahead == 'w') ADVANCE(535); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\f' || + lookahead == '\r' || + lookahead == ' ' || + lookahead == 8203 || + lookahead == 8288 || + lookahead == 65279) SKIP(865) + END_STATE(); + case 866: + if (eof) ADVANCE(868); + if (lookahead == ')') ADVANCE(891); + if (lookahead == ',') ADVANCE(884); + if (lookahead == '-') ADVANCE(178); + if (lookahead == '/') ADVANCE(175); + if (lookahead == ';') ADVANCE(869); + if (lookahead == 'C') ADVANCE(220); + if (lookahead == '\\') ADVANCE(74); + if (lookahead == 'c') ADVANCE(504); + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(587); + if (lookahead == 'B' || + lookahead == 'b') ADVANCE(375); + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(425); + if (lookahead == 'G' || + lookahead == 'g') ADVANCE(728); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(651); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(695); + if (lookahead == 'P' || + lookahead == 'p') ADVANCE(724); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(463); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(423); + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(665); + if (lookahead == 'W' || + lookahead == 'w') ADVANCE(535); + if (lookahead == '\t' || + lookahead == '\n' || + lookahead == '\f' || + lookahead == '\r' || + lookahead == ' ' || + lookahead == 8203 || + lookahead == 8288 || + lookahead == 65279) SKIP(866) + END_STATE(); + case 867: + if (eof) ADVANCE(868); + if (lookahead == '-') ADVANCE(178); + if (lookahead == '/') ADVANCE(175); + if (lookahead == ';') ADVANCE(869); + if (lookahead == '\\') ADVANCE(80); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(481); + lookahead == 'a') ADVANCE(586); if (lookahead == 'B' || - lookahead == 'b') ADVANCE(305); + lookahead == 'b') ADVANCE(375); if (lookahead == 'C' || - lookahead == 'c') ADVANCE(226); + lookahead == 'c') ADVANCE(282); if (lookahead == 'D' || - lookahead == 'd') ADVANCE(599); + lookahead == 'd') ADVANCE(470); if (lookahead == 'G' || - lookahead == 'g') ADVANCE(609); + lookahead == 'g') ADVANCE(728); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(538); + lookahead == 'i') ADVANCE(650); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(566); + lookahead == 'n') ADVANCE(682); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(702); + lookahead == 'o') ADVANCE(834); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(580); + lookahead == 'r') ADVANCE(697); if (lookahead == 'S' || - lookahead == 's') ADVANCE(351); + lookahead == 's') ADVANCE(424); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(584); + lookahead == 'u') ADVANCE(701); + if (lookahead == 'W' || + lookahead == 'w') ADVANCE(535); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -8205,2976 +10594,3595 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) SKIP(727) + lookahead == 65279) SKIP(867) END_STATE(); - case 728: + case 868: ACCEPT_TOKEN(ts_builtin_sym_end); END_STATE(); - case 729: + case 869: ACCEPT_TOKEN(anon_sym_SEMI); END_STATE(); - case 730: + case 870: ACCEPT_TOKEN(aux_sym_begin_statement_token1); END_STATE(); - case 731: + case 871: ACCEPT_TOKEN(aux_sym_begin_statement_token1); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 732: + case 872: ACCEPT_TOKEN(aux_sym_begin_statement_token2); END_STATE(); - case 733: + case 873: ACCEPT_TOKEN(aux_sym_begin_statement_token3); END_STATE(); - case 734: + case 874: ACCEPT_TOKEN(aux_sym_commit_statement_token1); END_STATE(); - case 735: + case 875: ACCEPT_TOKEN(aux_sym_commit_statement_token1); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 736: + case 876: ACCEPT_TOKEN(aux_sym_rollback_statement_token1); END_STATE(); - case 737: + case 877: ACCEPT_TOKEN(aux_sym_rollback_statement_token1); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 738: + case 878: + ACCEPT_TOKEN(aux_sym_with_clause_token1); + END_STATE(); + case 879: + ACCEPT_TOKEN(aux_sym_with_clause_token1); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(1348); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 880: + ACCEPT_TOKEN(aux_sym_with_clause_token1); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(820); + END_STATE(); + case 881: + ACCEPT_TOKEN(aux_sym_with_clause_token1); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 882: + ACCEPT_TOKEN(aux_sym_with_clause_token2); + END_STATE(); + case 883: + ACCEPT_TOKEN(aux_sym_with_clause_token2); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 884: + ACCEPT_TOKEN(anon_sym_COMMA); + END_STATE(); + case 885: + ACCEPT_TOKEN(aux_sym_cte_token1); + END_STATE(); + case 886: + ACCEPT_TOKEN(aux_sym_cte_token1); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 887: + ACCEPT_TOKEN(aux_sym_cte_token2); + END_STATE(); + case 888: + ACCEPT_TOKEN(aux_sym_cte_token2); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 889: + ACCEPT_TOKEN(aux_sym_cte_token3); + END_STATE(); + case 890: + ACCEPT_TOKEN(anon_sym_LPAREN); + END_STATE(); + case 891: + ACCEPT_TOKEN(anon_sym_RPAREN); + END_STATE(); + case 892: + ACCEPT_TOKEN(aux_sym_insert_statement_token1); + END_STATE(); + case 893: + ACCEPT_TOKEN(aux_sym_insert_statement_token1); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 894: + ACCEPT_TOKEN(aux_sym_insert_statement_token2); + END_STATE(); + case 895: ACCEPT_TOKEN(aux_sym_create_statement_token1); END_STATE(); - case 739: + case 896: ACCEPT_TOKEN(aux_sym_create_statement_token1); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 740: + case 897: ACCEPT_TOKEN(aux_sym_create_statement_token2); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(613); + lookahead == 'o') ADVANCE(734); END_STATE(); - case 741: + case 898: ACCEPT_TOKEN(aux_sym_create_statement_token3); END_STATE(); - case 742: + case 899: ACCEPT_TOKEN(aux_sym_alter_statement_token1); END_STATE(); - case 743: + case 900: ACCEPT_TOKEN(aux_sym_alter_statement_token1); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 744: + case 901: ACCEPT_TOKEN(aux_sym_alter_table_token1); END_STATE(); - case 745: + case 902: ACCEPT_TOKEN(aux_sym_alter_table_token1); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 746: + case 903: ACCEPT_TOKEN(aux_sym_alter_table_token2); END_STATE(); - case 747: + case 904: ACCEPT_TOKEN(aux_sym_alter_table_token2); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 748: + case 905: ACCEPT_TOKEN(aux_sym_alter_table_token3); END_STATE(); - case 749: + case 906: ACCEPT_TOKEN(aux_sym_alter_table_token4); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 750: + case 907: ACCEPT_TOKEN(aux_sym_alter_table_action_alter_column_token1); END_STATE(); - case 751: + case 908: + ACCEPT_TOKEN(aux_sym_alter_table_action_alter_column_token1); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 909: ACCEPT_TOKEN(aux_sym_alter_table_action_alter_column_token2); END_STATE(); - case 752: + case 910: ACCEPT_TOKEN(aux_sym_alter_table_action_alter_column_token2); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 753: + case 911: ACCEPT_TOKEN(aux_sym_alter_table_action_alter_column_token3); END_STATE(); - case 754: + case 912: ACCEPT_TOKEN(aux_sym_alter_table_action_alter_column_token3); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 755: + case 913: ACCEPT_TOKEN(aux_sym_alter_table_action_add_token1); END_STATE(); - case 756: + case 914: + ACCEPT_TOKEN(aux_sym_alter_table_rename_column_token1); + END_STATE(); + case 915: + ACCEPT_TOKEN(aux_sym_alter_table_rename_column_token2); + END_STATE(); + case 916: ACCEPT_TOKEN(aux_sym_sequence_token1); END_STATE(); - case 757: + case 917: ACCEPT_TOKEN(aux_sym_sequence_token1); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 758: - ACCEPT_TOKEN(aux_sym_sequence_token2); - END_STATE(); - case 759: + case 918: ACCEPT_TOKEN(aux_sym_sequence_token2); - if (('0' <= lookahead && lookahead <= '9') || - ('A' <= lookahead && lookahead <= 'Z') || - lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); END_STATE(); - case 760: - ACCEPT_TOKEN(aux_sym_sequence_token3); - END_STATE(); - case 761: + case 919: ACCEPT_TOKEN(aux_sym_sequence_token3); - if (('0' <= lookahead && lookahead <= '9') || - ('A' <= lookahead && lookahead <= 'Z') || - lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); END_STATE(); - case 762: + case 920: ACCEPT_TOKEN(aux_sym_sequence_token4); END_STATE(); - case 763: - ACCEPT_TOKEN(aux_sym_sequence_token5); - END_STATE(); - case 764: + case 921: ACCEPT_TOKEN(aux_sym_sequence_token5); - if (lookahead == 'O' || - lookahead == 'o') ADVANCE(689); END_STATE(); - case 765: + case 922: ACCEPT_TOKEN(aux_sym_sequence_token5); - if (('0' <= lookahead && lookahead <= '9') || - ('A' <= lookahead && lookahead <= 'Z') || - lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + if (lookahead == 'T' || + lookahead == 't') ADVANCE(887); END_STATE(); - case 766: + case 923: ACCEPT_TOKEN(aux_sym_sequence_token6); END_STATE(); - case 767: + case 924: ACCEPT_TOKEN(aux_sym_sequence_token7); END_STATE(); - case 768: - ACCEPT_TOKEN(aux_sym_sequence_token8); - END_STATE(); - case 769: + case 925: ACCEPT_TOKEN(aux_sym_sequence_token8); - if (lookahead == 'T' || - lookahead == 't') ADVANCE(758); END_STATE(); - case 770: + case 926: ACCEPT_TOKEN(aux_sym_sequence_token9); END_STATE(); - case 771: - ACCEPT_TOKEN(aux_sym_sequence_token10); - END_STATE(); - case 772: - ACCEPT_TOKEN(aux_sym_sequence_token11); - END_STATE(); - case 773: - ACCEPT_TOKEN(aux_sym_sequence_token12); - END_STATE(); - case 774: + case 927: ACCEPT_TOKEN(aux_sym_pg_command_token1); if (('A' <= lookahead && lookahead <= 'Z') || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(774); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(927); END_STATE(); - case 775: + case 928: ACCEPT_TOKEN(aux_sym_pg_command_token2); - if (lookahead == '\n') ADVANCE(123); - if (lookahead == '*') ADVANCE(775); - if (lookahead == '/') ADVANCE(781); - if (lookahead != 0) ADVANCE(776); + if (lookahead == '\n') ADVANCE(177); + if (lookahead == '*') ADVANCE(928); + if (lookahead == '/') ADVANCE(934); + if (lookahead != 0) ADVANCE(929); END_STATE(); - case 776: + case 929: ACCEPT_TOKEN(aux_sym_pg_command_token2); - if (lookahead == '\n') ADVANCE(123); - if (lookahead == '*') ADVANCE(775); - if (lookahead != 0) ADVANCE(776); + if (lookahead == '\n') ADVANCE(177); + if (lookahead == '*') ADVANCE(928); + if (lookahead != 0) ADVANCE(929); END_STATE(); - case 777: + case 930: ACCEPT_TOKEN(aux_sym_pg_command_token2); - if (lookahead == '\r') ADVANCE(781); + if (lookahead == '\r') ADVANCE(934); if (lookahead != 0 && - lookahead != '\n') ADVANCE(781); + lookahead != '\n') ADVANCE(934); END_STATE(); - case 778: + case 931: ACCEPT_TOKEN(aux_sym_pg_command_token2); - if (lookahead == '*') ADVANCE(776); + if (lookahead == '*') ADVANCE(929); if (lookahead != 0 && - lookahead != '\n') ADVANCE(781); + lookahead != '\n') ADVANCE(934); END_STATE(); - case 779: + case 932: ACCEPT_TOKEN(aux_sym_pg_command_token2); - if (lookahead == '-') ADVANCE(780); - if (lookahead == '/') ADVANCE(778); - if (lookahead == '\\') ADVANCE(777); + if (lookahead == '-') ADVANCE(933); + if (lookahead == '/') ADVANCE(931); + if (lookahead == '\\') ADVANCE(930); if (lookahead == '\t' || lookahead == '\f' || lookahead == '\r' || lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) ADVANCE(779); + lookahead == 65279) ADVANCE(932); if (lookahead != 0 && - lookahead != '\n') ADVANCE(781); + lookahead != '\n') ADVANCE(934); END_STATE(); - case 780: + case 933: ACCEPT_TOKEN(aux_sym_pg_command_token2); - if (lookahead == '-') ADVANCE(781); + if (lookahead == '-') ADVANCE(934); if (lookahead != 0 && - lookahead != '\n') ADVANCE(781); + lookahead != '\n') ADVANCE(934); END_STATE(); - case 781: + case 934: ACCEPT_TOKEN(aux_sym_pg_command_token2); if (lookahead != 0 && - lookahead != '\n') ADVANCE(781); + lookahead != '\n') ADVANCE(934); END_STATE(); - case 782: + case 935: ACCEPT_TOKEN(aux_sym_create_function_statement_token1); END_STATE(); - case 783: + case 936: ACCEPT_TOKEN(aux_sym_create_function_statement_token2); END_STATE(); - case 784: + case 937: ACCEPT_TOKEN(aux_sym_create_function_statement_token3); END_STATE(); - case 785: + case 938: ACCEPT_TOKEN(aux_sym_optimizer_hint_token1); END_STATE(); - case 786: + case 939: ACCEPT_TOKEN(aux_sym_optimizer_hint_token2); END_STATE(); - case 787: + case 940: ACCEPT_TOKEN(aux_sym_optimizer_hint_token3); END_STATE(); - case 788: + case 941: ACCEPT_TOKEN(aux_sym_parallel_hint_token1); END_STATE(); - case 789: + case 942: ACCEPT_TOKEN(aux_sym_parallel_hint_token2); END_STATE(); - case 790: + case 943: ACCEPT_TOKEN(aux_sym_parallel_hint_token3); END_STATE(); - case 791: + case 944: ACCEPT_TOKEN(aux_sym_parallel_hint_token4); END_STATE(); - case 792: + case 945: ACCEPT_TOKEN(aux_sym_null_hint_token1); END_STATE(); - case 793: + case 946: ACCEPT_TOKEN(aux_sym_null_hint_token2); END_STATE(); - case 794: + case 947: + ACCEPT_TOKEN(aux_sym_null_hint_token2); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 948: ACCEPT_TOKEN(aux_sym_null_hint_token3); END_STATE(); - case 795: + case 949: ACCEPT_TOKEN(aux_sym_null_hint_token3); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 796: + case 950: ACCEPT_TOKEN(aux_sym_null_hint_token4); END_STATE(); - case 797: + case 951: ACCEPT_TOKEN(aux_sym_null_hint_token5); END_STATE(); - case 798: + case 952: ACCEPT_TOKEN(aux_sym__function_language_token1); END_STATE(); - case 799: + case 953: ACCEPT_TOKEN(aux_sym_setof_token1); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 800: + case 954: ACCEPT_TOKEN(aux_sym_create_function_parameter_token1); END_STATE(); - case 801: + case 955: ACCEPT_TOKEN(aux_sym_create_function_parameter_token1); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(675); + lookahead == 'i') ADVANCE(793); END_STATE(); - case 802: + case 956: ACCEPT_TOKEN(aux_sym_create_function_parameter_token1); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(675); + lookahead == 'i') ADVANCE(793); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(363); + lookahead == 'n') ADVANCE(440); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(686); + lookahead == 'o') ADVANCE(818); if (lookahead == 'S' || - lookahead == 's') ADVANCE(366); + lookahead == 's') ADVANCE(442); END_STATE(); - case 803: + case 957: ACCEPT_TOKEN(aux_sym_create_function_parameter_token1); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(675); + lookahead == 'i') ADVANCE(793); if (lookahead == 'S' || - lookahead == 's') ADVANCE(366); + lookahead == 's') ADVANCE(442); END_STATE(); - case 804: + case 958: ACCEPT_TOKEN(aux_sym_create_function_parameter_token1); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(363); + lookahead == 'n') ADVANCE(440); END_STATE(); - case 805: + case 959: ACCEPT_TOKEN(aux_sym_create_function_parameter_token1); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(363); + lookahead == 'n') ADVANCE(440); if (lookahead == 'S' || - lookahead == 's') ADVANCE(366); + lookahead == 's') ADVANCE(442); END_STATE(); - case 806: + case 960: ACCEPT_TOKEN(aux_sym_create_function_parameter_token1); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(989); + lookahead == 'n') ADVANCE(1161); if (lookahead == 'S' || - lookahead == 's') ADVANCE(991); + lookahead == 's') ADVANCE(1162); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 807: + case 961: ACCEPT_TOKEN(aux_sym_create_function_parameter_token1); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(989); + lookahead == 'n') ADVANCE(1161); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 808: + case 962: ACCEPT_TOKEN(aux_sym_create_function_parameter_token1); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(1137); + lookahead == 'o') ADVANCE(1350); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 809: + case 963: ACCEPT_TOKEN(aux_sym_create_function_parameter_token1); if (lookahead == 'S' || - lookahead == 's') ADVANCE(366); + lookahead == 's') ADVANCE(442); END_STATE(); - case 810: + case 964: ACCEPT_TOKEN(aux_sym_create_function_parameter_token1); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(1162); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 811: + case 965: + ACCEPT_TOKEN(aux_sym_create_function_parameter_token1); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 966: ACCEPT_TOKEN(aux_sym_create_function_parameter_token2); END_STATE(); - case 812: + case 967: ACCEPT_TOKEN(aux_sym_create_function_parameter_token2); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 813: + case 968: ACCEPT_TOKEN(aux_sym_create_function_parameter_token3); END_STATE(); - case 814: + case 969: ACCEPT_TOKEN(aux_sym_create_function_parameter_token3); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 815: + case 970: ACCEPT_TOKEN(aux_sym_create_function_parameter_token4); END_STATE(); - case 816: + case 971: ACCEPT_TOKEN(aux_sym_create_function_parameter_token4); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 817: + case 972: ACCEPT_TOKEN(anon_sym_EQ); END_STATE(); - case 818: - ACCEPT_TOKEN(anon_sym_LPAREN); - END_STATE(); - case 819: - ACCEPT_TOKEN(anon_sym_COMMA); - END_STATE(); - case 820: - ACCEPT_TOKEN(anon_sym_RPAREN); - END_STATE(); - case 821: + case 973: ACCEPT_TOKEN(aux_sym_create_extension_statement_token1); END_STATE(); - case 822: + case 974: ACCEPT_TOKEN(aux_sym_create_role_statement_token1); END_STATE(); - case 823: + case 975: ACCEPT_TOKEN(aux_sym_create_schema_statement_token1); END_STATE(); - case 824: + case 976: ACCEPT_TOKEN(aux_sym_create_schema_statement_token1); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 825: + case 977: ACCEPT_TOKEN(aux_sym_drop_statement_token1); END_STATE(); - case 826: + case 978: ACCEPT_TOKEN(aux_sym_drop_statement_token1); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 827: + case 979: ACCEPT_TOKEN(anon_sym_TABLE); END_STATE(); - case 828: + case 980: ACCEPT_TOKEN(anon_sym_TABLE); - if (lookahead == 'S') ADVANCE(171); + if (lookahead == 'S') ADVANCE(224); END_STATE(); - case 829: + case 981: ACCEPT_TOKEN(anon_sym_VIEW); END_STATE(); - case 830: + case 982: ACCEPT_TOKEN(anon_sym_TABLESPACE); END_STATE(); - case 831: + case 983: ACCEPT_TOKEN(anon_sym_EXTENSION); END_STATE(); - case 832: + case 984: ACCEPT_TOKEN(anon_sym_INDEX); END_STATE(); - case 833: + case 985: ACCEPT_TOKEN(aux_sym_set_statement_token1); END_STATE(); - case 834: + case 986: ACCEPT_TOKEN(aux_sym_set_statement_token1); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 835: + case 987: ACCEPT_TOKEN(aux_sym_set_statement_token2); END_STATE(); - case 836: + case 988: ACCEPT_TOKEN(aux_sym_set_statement_token2); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); - END_STATE(); - case 837: - ACCEPT_TOKEN(aux_sym_set_statement_token3); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 838: + case 989: ACCEPT_TOKEN(aux_sym_grant_statement_token1); END_STATE(); - case 839: + case 990: ACCEPT_TOKEN(aux_sym_grant_statement_token1); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 840: + case 991: ACCEPT_TOKEN(aux_sym_grant_statement_token2); END_STATE(); - case 841: + case 992: ACCEPT_TOKEN(aux_sym_grant_statement_token3); END_STATE(); - case 842: + case 993: ACCEPT_TOKEN(aux_sym_grant_statement_token4); END_STATE(); - case 843: + case 994: ACCEPT_TOKEN(aux_sym_grant_statement_token4); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 844: + case 995: ACCEPT_TOKEN(aux_sym_grant_statement_token5); END_STATE(); - case 845: + case 996: ACCEPT_TOKEN(aux_sym_grant_statement_token5); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 846: + case 997: ACCEPT_TOKEN(aux_sym_grant_statement_token6); END_STATE(); - case 847: + case 998: ACCEPT_TOKEN(aux_sym_grant_statement_token6); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 848: + case 999: ACCEPT_TOKEN(aux_sym_grant_statement_token7); END_STATE(); - case 849: + case 1000: ACCEPT_TOKEN(aux_sym_grant_statement_token8); END_STATE(); - case 850: + case 1001: ACCEPT_TOKEN(aux_sym_grant_statement_token9); END_STATE(); - case 851: + case 1002: ACCEPT_TOKEN(aux_sym_grant_statement_token10); END_STATE(); - case 852: + case 1003: ACCEPT_TOKEN(aux_sym_grant_statement_token11); END_STATE(); - case 853: + case 1004: + ACCEPT_TOKEN(aux_sym_grant_statement_token11); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1005: ACCEPT_TOKEN(aux_sym_grant_statement_token12); END_STATE(); - case 854: + case 1006: ACCEPT_TOKEN(aux_sym_grant_statement_token12); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 855: + case 1007: ACCEPT_TOKEN(aux_sym_grant_statement_token13); END_STATE(); - case 856: + case 1008: ACCEPT_TOKEN(aux_sym_grant_statement_token13); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 857: - ACCEPT_TOKEN(aux_sym_grant_statement_token14); - END_STATE(); - case 858: + case 1009: ACCEPT_TOKEN(aux_sym_grant_statement_token14); - if (('0' <= lookahead && lookahead <= '9') || - ('A' <= lookahead && lookahead <= 'Z') || - lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); END_STATE(); - case 859: - ACCEPT_TOKEN(aux_sym_grant_statement_token15); - END_STATE(); - case 860: + case 1010: ACCEPT_TOKEN(aux_sym_create_domain_statement_token1); END_STATE(); - case 861: + case 1011: ACCEPT_TOKEN(aux_sym_create_type_statement_token1); END_STATE(); - case 862: + case 1012: ACCEPT_TOKEN(aux_sym_create_index_include_clause_token1); END_STATE(); - case 863: + case 1013: ACCEPT_TOKEN(aux_sym_create_index_statement_token1); END_STATE(); - case 864: + case 1014: ACCEPT_TOKEN(aux_sym_auto_increment_constraint_token1); END_STATE(); - case 865: + case 1015: ACCEPT_TOKEN(aux_sym_direction_constraint_token1); END_STATE(); - case 866: + case 1016: ACCEPT_TOKEN(aux_sym_direction_constraint_token1); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 867: + case 1017: ACCEPT_TOKEN(aux_sym_direction_constraint_token2); END_STATE(); - case 868: + case 1018: ACCEPT_TOKEN(aux_sym_direction_constraint_token2); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 869: - ACCEPT_TOKEN(aux_sym_time_zone_constraint_token1); - END_STATE(); - case 870: - ACCEPT_TOKEN(aux_sym_time_zone_constraint_token2); - END_STATE(); - case 871: - ACCEPT_TOKEN(aux_sym_time_zone_constraint_token3); - END_STATE(); - case 872: + case 1019: ACCEPT_TOKEN(anon_sym_CONSTRAINT); END_STATE(); - case 873: + case 1020: ACCEPT_TOKEN(aux_sym_mode_token1); END_STATE(); - case 874: + case 1021: ACCEPT_TOKEN(aux_sym_initial_mode_token1); END_STATE(); - case 875: + case 1022: ACCEPT_TOKEN(aux_sym_initial_mode_token2); END_STATE(); - case 876: + case 1023: ACCEPT_TOKEN(aux_sym_initial_mode_token3); END_STATE(); - case 877: + case 1024: ACCEPT_TOKEN(aux_sym__table_constraint_token1); END_STATE(); - case 878: + case 1025: ACCEPT_TOKEN(aux_sym__table_constraint_token1); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 879: + case 1026: ACCEPT_TOKEN(aux_sym_table_constraint_check_token1); END_STATE(); - case 880: + case 1027: ACCEPT_TOKEN(aux_sym_table_constraint_check_token1); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 881: + case 1028: ACCEPT_TOKEN(aux_sym_table_constraint_exclude_token1); END_STATE(); - case 882: + case 1029: ACCEPT_TOKEN(aux_sym_table_constraint_exclude_token1); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 883: + case 1030: ACCEPT_TOKEN(aux_sym_table_constraint_exclude_token2); END_STATE(); - case 884: + case 1031: ACCEPT_TOKEN(aux_sym_table_constraint_foreign_key_token1); END_STATE(); - case 885: + case 1032: ACCEPT_TOKEN(aux_sym_table_constraint_foreign_key_token1); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 886: + case 1033: ACCEPT_TOKEN(aux_sym_table_constraint_foreign_key_token2); END_STATE(); - case 887: + case 1034: ACCEPT_TOKEN(aux_sym_table_constraint_unique_token1); END_STATE(); - case 888: + case 1035: ACCEPT_TOKEN(aux_sym_table_constraint_unique_token1); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 889: + case 1036: ACCEPT_TOKEN(aux_sym_table_constraint_primary_key_token1); END_STATE(); - case 890: + case 1037: ACCEPT_TOKEN(aux_sym_table_constraint_primary_key_token1); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 891: + case 1038: ACCEPT_TOKEN(aux_sym_order_by_clause_token1); END_STATE(); - case 892: + case 1039: ACCEPT_TOKEN(aux_sym_order_by_clause_token1); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 893: + case 1040: + ACCEPT_TOKEN(aux_sym_limit_clause_token1); + END_STATE(); + case 1041: + ACCEPT_TOKEN(aux_sym_limit_clause_token1); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1042: + ACCEPT_TOKEN(aux_sym_limit_clause_token2); + END_STATE(); + case 1043: ACCEPT_TOKEN(aux_sym_where_clause_token1); END_STATE(); - case 894: + case 1044: ACCEPT_TOKEN(aux_sym_where_clause_token1); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 895: + case 1045: ACCEPT_TOKEN(aux_sym_from_clause_token1); END_STATE(); - case 896: + case 1046: ACCEPT_TOKEN(aux_sym_from_clause_token1); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 897: + case 1047: ACCEPT_TOKEN(aux_sym_join_type_token1); END_STATE(); - case 898: + case 1048: ACCEPT_TOKEN(aux_sym_join_type_token1); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 899: + case 1049: ACCEPT_TOKEN(aux_sym_join_type_token2); END_STATE(); - case 900: + case 1050: ACCEPT_TOKEN(aux_sym_join_type_token2); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 901: + case 1051: ACCEPT_TOKEN(aux_sym_join_type_token3); END_STATE(); - case 902: + case 1052: ACCEPT_TOKEN(aux_sym_join_type_token3); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 903: + case 1053: ACCEPT_TOKEN(aux_sym_join_type_token4); END_STATE(); - case 904: + case 1054: ACCEPT_TOKEN(aux_sym_join_type_token4); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 905: + case 1055: ACCEPT_TOKEN(aux_sym_join_type_token5); END_STATE(); - case 906: + case 1056: ACCEPT_TOKEN(aux_sym_join_clause_token1); END_STATE(); - case 907: + case 1057: ACCEPT_TOKEN(aux_sym_join_clause_token1); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 908: - ACCEPT_TOKEN(aux_sym_insert_statement_token1); + case 1058: + ACCEPT_TOKEN(aux_sym_select_subexpression_token1); END_STATE(); - case 909: + case 1059: + ACCEPT_TOKEN(aux_sym_select_subexpression_token1); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1060: ACCEPT_TOKEN(aux_sym_values_clause_token1); END_STATE(); - case 910: + case 1061: + ACCEPT_TOKEN(aux_sym_conditional_expression_token1); + END_STATE(); + case 1062: + ACCEPT_TOKEN(aux_sym_conditional_expression_token1); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1063: + ACCEPT_TOKEN(aux_sym_conditional_expression_token2); + END_STATE(); + case 1064: + ACCEPT_TOKEN(aux_sym_conditional_expression_token3); + END_STATE(); + case 1065: + ACCEPT_TOKEN(aux_sym_conditional_expression_token4); + END_STATE(); + case 1066: + ACCEPT_TOKEN(aux_sym_conditional_expression_token5); + END_STATE(); + case 1067: ACCEPT_TOKEN(aux_sym__constraint_action_token1); END_STATE(); - case 911: + case 1068: ACCEPT_TOKEN(aux_sym__constraint_action_token1); if (lookahead == 'E' || - lookahead == 'e') ADVANCE(297); + lookahead == 'e') ADVANCE(364); END_STATE(); - case 912: + case 1069: ACCEPT_TOKEN(aux_sym__constraint_action_token2); END_STATE(); - case 913: + case 1070: ACCEPT_TOKEN(aux_sym_is_expression_token1); END_STATE(); - case 914: + case 1071: ACCEPT_TOKEN(aux_sym_is_expression_token1); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 915: + case 1072: ACCEPT_TOKEN(aux_sym_distinct_from_token1); END_STATE(); - case 916: + case 1073: ACCEPT_TOKEN(aux_sym_boolean_expression_token1); END_STATE(); - case 917: + case 1074: ACCEPT_TOKEN(aux_sym_boolean_expression_token1); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 918: + case 1075: ACCEPT_TOKEN(aux_sym_boolean_expression_token2); END_STATE(); - case 919: + case 1076: ACCEPT_TOKEN(aux_sym_boolean_expression_token2); if (lookahead == 'D' || - lookahead == 'd') ADVANCE(368); + lookahead == 'd') ADVANCE(447); END_STATE(); - case 920: + case 1077: ACCEPT_TOKEN(aux_sym_boolean_expression_token2); if (lookahead == 'D' || - lookahead == 'd') ADVANCE(993); + lookahead == 'd') ADVANCE(1167); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 921: + case 1078: ACCEPT_TOKEN(aux_sym_boolean_expression_token2); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 922: + case 1079: + ACCEPT_TOKEN(aux_sym_at_time_zone_expression_token1); + END_STATE(); + case 1080: + ACCEPT_TOKEN(aux_sym_at_time_zone_expression_token1); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1081: + ACCEPT_TOKEN(aux_sym_at_time_zone_expression_token2); + END_STATE(); + case 1082: + ACCEPT_TOKEN(aux_sym_at_time_zone_expression_token3); + END_STATE(); + case 1083: ACCEPT_TOKEN(aux_sym_TRUE_token1); END_STATE(); - case 923: + case 1084: ACCEPT_TOKEN(aux_sym_TRUE_token1); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 924: + case 1085: ACCEPT_TOKEN(aux_sym_FALSE_token1); END_STATE(); - case 925: + case 1086: ACCEPT_TOKEN(aux_sym_FALSE_token1); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 926: + case 1087: ACCEPT_TOKEN(aux_sym_number_token1); - if (('0' <= lookahead && lookahead <= '9')) ADVANCE(926); + if (('0' <= lookahead && lookahead <= '9')) ADVANCE(1087); END_STATE(); - case 927: + case 1088: ACCEPT_TOKEN(aux_sym_number_token1); - if (('0' <= lookahead && lookahead <= '9')) ADVANCE(927); + if (('0' <= lookahead && lookahead <= '9')) ADVANCE(1088); if (('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 928: + case 1089: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(824); + lookahead == 'a') ADVANCE(1310); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(1239); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(1139); if (('0' <= lookahead && lookahead <= '9') || ('B' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('b' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('b' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 929: + case 1090: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(1310); + if (('0' <= lookahead && lookahead <= '9') || + ('B' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('b' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1091: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(976); + if (('0' <= lookahead && lookahead <= '9') || + ('B' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('b' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1092: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(1258); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(1343); + if (('0' <= lookahead && lookahead <= '9') || + ('B' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('b' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1093: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(1258); + if (('0' <= lookahead && lookahead <= '9') || + ('B' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('b' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1094: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(1117); + if (('0' <= lookahead && lookahead <= '9') || + ('B' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('b' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1095: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'A' || + lookahead == 'a') ADVANCE(1118); + if (('0' <= lookahead && lookahead <= '9') || + ('B' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('b' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1096: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(1027); + lookahead == 'a') ADVANCE(1232); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(1076); + lookahead == 'r') ADVANCE(1273); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(1034); + lookahead == 'u') ADVANCE(1221); if (('0' <= lookahead && lookahead <= '9') || ('B' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('b' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('b' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 930: + case 1097: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(1027); + lookahead == 'a') ADVANCE(1232); if (('0' <= lookahead && lookahead <= '9') || ('B' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('b' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('b' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 931: + case 1098: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(1061); - if (lookahead == 'O' || - lookahead == 'o') ADVANCE(1134); + lookahead == 'a') ADVANCE(1132); if (('0' <= lookahead && lookahead <= '9') || ('B' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('b' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('b' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 932: + case 1099: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(1061); + lookahead == 'a') ADVANCE(1354); if (('0' <= lookahead && lookahead <= '9') || ('B' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('b' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('b' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 933: + case 1100: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(948); + lookahead == 'a') ADVANCE(1217); if (('0' <= lookahead && lookahead <= '9') || ('B' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('b' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('b' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 934: + case 1101: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(951); + lookahead == 'a') ADVANCE(1218); if (('0' <= lookahead && lookahead <= '9') || ('B' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('b' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('b' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 935: + case 1102: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(963); + lookahead == 'a') ADVANCE(1294); if (('0' <= lookahead && lookahead <= '9') || ('B' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('b' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('b' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 936: + case 1103: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(1140); + lookahead == 'a') ADVANCE(1219); if (('0' <= lookahead && lookahead <= '9') || ('B' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('b' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('b' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 937: + case 1104: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(1031); + lookahead == 'a') ADVANCE(1297); if (('0' <= lookahead && lookahead <= '9') || ('B' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('b' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('b' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 938: + case 1105: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(1032); + lookahead == 'a') ADVANCE(1304); if (('0' <= lookahead && lookahead <= '9') || ('B' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('b' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('b' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 939: + case 1106: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(1096); + lookahead == 'a') ADVANCE(1337); if (('0' <= lookahead && lookahead <= '9') || ('B' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('b' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('b' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 940: + case 1107: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(1129); + lookahead == 'a') ADVANCE(1335); if (('0' <= lookahead && lookahead <= '9') || ('B' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('b' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('b' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 941: + case 1108: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(1103); + lookahead == 'a') ADVANCE(1116); if (('0' <= lookahead && lookahead <= '9') || ('B' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('b' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('b' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 942: + case 1109: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(1127); + lookahead == 'a') ADVANCE(1338); if (('0' <= lookahead && lookahead <= '9') || ('B' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('b' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('b' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 943: + case 1110: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(950); + lookahead == 'a') ADVANCE(1315); if (('0' <= lookahead && lookahead <= '9') || ('B' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('b' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('b' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 944: + case 1111: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(1128); + lookahead == 'a') ADVANCE(1208); if (('0' <= lookahead && lookahead <= '9') || ('B' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('b' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('b' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 945: + case 1112: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(1022); + lookahead == 'a') ADVANCE(1342); + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(1176); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(1234); if (('0' <= lookahead && lookahead <= '9') || ('B' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('b' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('b' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 946: + case 1113: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'A' || - lookahead == 'a') ADVANCE(1112); + lookahead == 'a') ADVANCE(1342); if (('0' <= lookahead && lookahead <= '9') || ('B' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('b' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('b' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 947: + case 1114: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'B' || - lookahead == 'b') ADVANCE(934); + lookahead == 'b') ADVANCE(1095); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 948: + case 1115: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'B' || - lookahead == 'b') ADVANCE(1042); + lookahead == 'b') ADVANCE(1229); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 949: + case 1116: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'B' || - lookahead == 'b') ADVANCE(1041); + lookahead == 'b') ADVANCE(1110); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 950: + case 1117: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'B' || - lookahead == 'b') ADVANCE(946); + lookahead == 'b') ADVANCE(1231); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 951: + case 1118: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'C' || - lookahead == 'c') ADVANCE(1023); + lookahead == 'c') ADVANCE(1210); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 952: + case 1119: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'C' || - lookahead == 'c') ADVANCE(866); + lookahead == 'c') ADVANCE(1016); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 953: + case 1120: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'C' || - lookahead == 'c') ADVANCE(868); + lookahead == 'c') ADVANCE(1018); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 954: + case 1121: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'C' || - lookahead == 'c') ADVANCE(816); + lookahead == 'c') ADVANCE(971); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 955: + case 1122: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'C' || - lookahead == 'c') ADVANCE(858); + lookahead == 'c') ADVANCE(1008); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 956: + case 1123: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'C' || - lookahead == 'c') ADVANCE(1024); + lookahead == 'c') ADVANCE(1211); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 957: + case 1124: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'C' || - lookahead == 'c') ADVANCE(1120); + lookahead == 'c') ADVANCE(1193); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 958: + case 1125: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'C' || - lookahead == 'c') ADVANCE(1036); + lookahead == 'c') ADVANCE(1189); + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(1285); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 959: + case 1126: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'C' || - lookahead == 'c') ADVANCE(981); + lookahead == 'c') ADVANCE(1352); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 960: + case 1127: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'C' || - lookahead == 'c') ADVANCE(1008); - if (lookahead == 'E' || - lookahead == 'e') ADVANCE(1086); + lookahead == 'c') ADVANCE(1224); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 961: + case 1128: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'C' || - lookahead == 'c') ADVANCE(938); + lookahead == 'c') ADVANCE(1327); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 962: + case 1129: ACCEPT_TOKEN(sym__unquoted_identifier); - if (lookahead == 'D' || - lookahead == 'd') ADVANCE(917); + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(1152); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 963: + case 1130: ACCEPT_TOKEN(sym__unquoted_identifier); - if (lookahead == 'D' || - lookahead == 'd') ADVANCE(1017); + if (lookahead == 'C' || + lookahead == 'c') ADVANCE(1103); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 964: + case 1131: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'D' || - lookahead == 'd') ADVANCE(978); + lookahead == 'd') ADVANCE(1074); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 965: + case 1132: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'D' || - lookahead == 'd') ADVANCE(993); + lookahead == 'd') ADVANCE(1204); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 966: + case 1133: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'D' || - lookahead == 'd') ADVANCE(944); + lookahead == 'd') ADVANCE(1149); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 967: + case 1134: ACCEPT_TOKEN(sym__unquoted_identifier); - if (lookahead == 'E' || - lookahead == 'e') ADVANCE(1003); + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(1167); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 968: + case 1135: ACCEPT_TOKEN(sym__unquoted_identifier); - if (lookahead == 'E' || - lookahead == 'e') ADVANCE(999); + if (lookahead == 'D' || + lookahead == 'd') ADVANCE(1109); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 969: + case 1136: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'E' || - lookahead == 'e') ADVANCE(1038); + lookahead == 'e') ADVANCE(1181); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 970: + case 1137: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'E' || - lookahead == 'e') ADVANCE(942); + lookahead == 'e') ADVANCE(1176); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(1234); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 971: + case 1138: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'E' || - lookahead == 'e') ADVANCE(957); + lookahead == 'e') ADVANCE(1226); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 972: + case 1139: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'E' || - lookahead == 'e') ADVANCE(923); + lookahead == 'e') ADVANCE(1107); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 973: + case 1140: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'E' || - lookahead == 'e') ADVANCE(925); + lookahead == 'e') ADVANCE(1062); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 974: + case 1141: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'E' || - lookahead == 'e') ADVANCE(894); + lookahead == 'e') ADVANCE(1128); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 975: + case 1142: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'E' || - lookahead == 'e') ADVANCE(739); + lookahead == 'e') ADVANCE(1084); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 976: + case 1143: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'E' || - lookahead == 'e') ADVANCE(847); + lookahead == 'e') ADVANCE(1086); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 977: + case 1144: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'E' || - lookahead == 'e') ADVANCE(888); + lookahead == 'e') ADVANCE(1044); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 978: + case 1145: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'E' || - lookahead == 'e') ADVANCE(882); + lookahead == 'e') ADVANCE(896); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 979: + case 1146: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'E' || - lookahead == 'e') ADVANCE(745); + lookahead == 'e') ADVANCE(998); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 980: + case 1147: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'E' || - lookahead == 'e') ADVANCE(854); + lookahead == 'e') ADVANCE(996); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 981: + case 1148: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'E' || - lookahead == 'e') ADVANCE(757); + lookahead == 'e') ADVANCE(1035); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 982: + case 1149: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'E' || - lookahead == 'e') ADVANCE(1000); + lookahead == 'e') ADVANCE(1029); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 983: + case 1150: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'E' || - lookahead == 'e') ADVANCE(1107); + lookahead == 'e') ADVANCE(902); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 984: + case 1151: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'E' || - lookahead == 'e') ADVANCE(1108); + lookahead == 'e') ADVANCE(1004); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 985: + case 1152: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'E' || - lookahead == 'e') ADVANCE(1105); + lookahead == 'e') ADVANCE(917); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 986: + case 1153: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'E' || - lookahead == 'e') ADVANCE(1090); + lookahead == 'e') ADVANCE(883); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 987: + case 1154: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'E' || - lookahead == 'e') ADVANCE(1013); + lookahead == 'e') ADVANCE(1223); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(1269); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 988: + case 1155: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'E' || - lookahead == 'e') ADVANCE(956); + lookahead == 'e') ADVANCE(1177); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 989: + case 1156: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'E' || - lookahead == 'e') ADVANCE(1091); + lookahead == 'e') ADVANCE(1311); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 990: + case 1157: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'E' || - lookahead == 'e') ADVANCE(1039); + lookahead == 'e') ADVANCE(1124); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 991: + case 1158: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'E' || - lookahead == 'e') ADVANCE(1102); + lookahead == 'e') ADVANCE(1305); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 992: + case 1159: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'E' || - lookahead == 'e') ADVANCE(1092); + lookahead == 'e') ADVANCE(1289); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 993: + case 1160: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'E' || - lookahead == 'e') ADVANCE(1093); + lookahead == 'e') ADVANCE(1318); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 994: + case 1161: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'E' || - lookahead == 'e') ADVANCE(1063); + lookahead == 'e') ADVANCE(1290); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 995: + case 1162: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(1303); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1163: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(1123); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1164: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(1291); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1165: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(1198); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1166: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(1296); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1167: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(1292); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1168: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'E' || lookahead == 'e') ADVANCE(1126); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 996: + case 1169: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'E' || - lookahead == 'e') ADVANCE(1047); + lookahead == 'e') ADVANCE(1260); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 997: + case 1170: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(1334); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1171: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(1227); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1172: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(1235); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1173: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'E' || + lookahead == 'e') ADVANCE(1336); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1174: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'F' || - lookahead == 'f') ADVANCE(799); + lookahead == 'f') ADVANCE(953); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 998: + case 1175: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'F' || - lookahead == 'f') ADVANCE(747); + lookahead == 'f') ADVANCE(904); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 999: + case 1176: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'F' || - lookahead == 'f') ADVANCE(1115); + lookahead == 'f') ADVANCE(1321); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1000: + case 1177: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'F' || - lookahead == 'f') ADVANCE(936); + lookahead == 'f') ADVANCE(1099); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1001: + case 1178: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'G' || - lookahead == 'g') ADVANCE(1006); + lookahead == 'g') ADVANCE(1362); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1002: + case 1179: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'G' || - lookahead == 'g') ADVANCE(1055); + lookahead == 'g') ADVANCE(1187); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1003: + case 1180: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'G' || - lookahead == 'g') ADVANCE(1014); + lookahead == 'g') ADVANCE(1250); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1004: + case 1181: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'G' || + lookahead == 'g') ADVANCE(1197); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1182: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'H' || - lookahead == 'h') ADVANCE(765); + lookahead == 'h') ADVANCE(881); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1005: + case 1183: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'H' || - lookahead == 'h') ADVANCE(985); + lookahead == 'h') ADVANCE(879); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1006: + case 1184: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'H' || - lookahead == 'h') ADVANCE(1117); + lookahead == 'h') ADVANCE(1158); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(1332); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1007: + case 1185: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'H' || + lookahead == 'h') ADVANCE(1158); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(1333); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1186: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'H' || + lookahead == 'h') ADVANCE(1158); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1187: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'H' || + lookahead == 'h') ADVANCE(1324); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1188: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'H' || - lookahead == 'h') ADVANCE(988); + lookahead == 'h') ADVANCE(1163); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(1060); + lookahead == 'o') ADVANCE(1257); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1008: + case 1189: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'H' || - lookahead == 'h') ADVANCE(996); + lookahead == 'h') ADVANCE(1172); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1009: + case 1190: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(1085); + lookahead == 'i') ADVANCE(1284); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1010: + case 1191: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(1001); + lookahead == 'i') ADVANCE(1179); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(1037); + lookahead == 'o') ADVANCE(1225); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1011: + case 1192: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(1001); + lookahead == 'i') ADVANCE(1179); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1012: + case 1193: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(1049); + lookahead == 'i') ADVANCE(1309); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1013: + case 1194: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(1002); + lookahead == 'i') ADVANCE(1356); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1014: + case 1195: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(1050); + lookahead == 'i') ADVANCE(1242); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1015: + case 1196: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(1046); + lookahead == 'i') ADVANCE(1332); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1016: + case 1197: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(1118); + lookahead == 'i') ADVANCE(1243); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1017: + case 1198: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(954); + lookahead == 'i') ADVANCE(1180); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1018: + case 1199: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(935); + lookahead == 'i') ADVANCE(1238); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1019: + case 1200: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(955); + lookahead == 'i') ADVANCE(1254); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1020: + case 1201: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(1079); + lookahead == 'i') ADVANCE(1275); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1021: + case 1202: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(1125); + lookahead == 'i') ADVANCE(1323); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1022: + case 1203: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'I' || - lookahead == 'i') ADVANCE(1065); + lookahead == 'i') ADVANCE(1325); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1023: + case 1204: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(1121); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1205: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(1098); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1206: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(1122); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1207: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(1333); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1208: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(1262); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1209: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'I' || + lookahead == 'i') ADVANCE(1278); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1210: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'K' || - lookahead == 'k') ADVANCE(737); + lookahead == 'k') ADVANCE(877); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1024: + case 1211: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'K' || - lookahead == 'k') ADVANCE(880); + lookahead == 'k') ADVANCE(1027); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1025: + case 1212: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'L' || - lookahead == 'l') ADVANCE(1131); + lookahead == 'l') ADVANCE(1340); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(962); + lookahead == 'n') ADVANCE(1131); if (lookahead == 'S' || - lookahead == 's') ADVANCE(761); + lookahead == 's') ADVANCE(886); + if (lookahead == 'T' || + lookahead == 't') ADVANCE(1080); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1026: + case 1213: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'L' || - lookahead == 'l') ADVANCE(1131); + lookahead == 'l') ADVANCE(1340); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1027: + case 1214: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'L' || - lookahead == 'l') ADVANCE(1109); + lookahead == 'l') ADVANCE(1054); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1028: + case 1215: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'L' || - lookahead == 'l') ADVANCE(904); + lookahead == 'l') ADVANCE(949); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1029: + case 1216: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'L' || - lookahead == 'l') ADVANCE(795); + lookahead == 'l') ADVANCE(1114); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1030: + case 1217: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'L' || - lookahead == 'l') ADVANCE(947); + lookahead == 'l') ADVANCE(1059); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1031: + case 1218: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'L' || - lookahead == 'l') ADVANCE(1227); + lookahead == 'l') ADVANCE(1448); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1032: + case 1219: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'L' || - lookahead == 'l') ADVANCE(836); + lookahead == 'l') ADVANCE(988); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1033: + case 1220: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'L' || - lookahead == 'l') ADVANCE(1146); + lookahead == 'l') ADVANCE(1360); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1034: + case 1221: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'L' || - lookahead == 'l') ADVANCE(1028); + lookahead == 'l') ADVANCE(1214); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1035: + case 1222: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'L' || - lookahead == 'l') ADVANCE(1029); + lookahead == 'l') ADVANCE(1215); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1036: + case 1223: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'L' || - lookahead == 'l') ADVANCE(1141); + lookahead == 'l') ADVANCE(1173); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1037: + case 1224: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'L' || - lookahead == 'l') ADVANCE(1030); + lookahead == 'l') ADVANCE(1355); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1038: + case 1225: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(1216); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1226: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'L' || - lookahead == 'l') ADVANCE(971); + lookahead == 'l') ADVANCE(1141); if (lookahead == 'T' || - lookahead == 't') ADVANCE(752); + lookahead == 't') ADVANCE(910); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1039: + case 1227: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'L' || - lookahead == 'l') ADVANCE(971); + lookahead == 'l') ADVANCE(1141); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1040: + case 1228: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'L' || - lookahead == 'l') ADVANCE(1121); + lookahead == 'l') ADVANCE(1346); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1041: + case 1229: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'L' || - lookahead == 'l') ADVANCE(1019); + lookahead == 'l') ADVANCE(1206); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1042: + case 1230: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'L' || - lookahead == 'l') ADVANCE(979); + lookahead == 'l') ADVANCE(1328); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1043: + case 1231: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(1150); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1232: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'L' || + lookahead == 'l') ADVANCE(1312); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1233: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'M' || - lookahead == 'm') ADVANCE(896); + lookahead == 'm') ADVANCE(1046); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1044: + case 1234: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'M' || - lookahead == 'm') ADVANCE(1045); + lookahead == 'm') ADVANCE(1202); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1045: + case 1235: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'M' || - lookahead == 'm') ADVANCE(1016); + lookahead == 'm') ADVANCE(1091); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1046: + case 1236: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'M' || - lookahead == 'm') ADVANCE(939); + lookahead == 'm') ADVANCE(1252); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1047: + case 1237: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'M' || - lookahead == 'm') ADVANCE(928); + lookahead == 'm') ADVANCE(1203); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1048: + case 1238: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'M' || + lookahead == 'm') ADVANCE(1104); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1239: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'M' || + lookahead == 'm') ADVANCE(1237); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1240: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(1067); + lookahead == 'n') ADVANCE(1362); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1049: + case 1241: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(907); + lookahead == 'n') ADVANCE(1264); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1050: + case 1242: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(731); + lookahead == 'n') ADVANCE(1057); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1051: + case 1243: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(871); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1244: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(806); + lookahead == 'n') ADVANCE(960); if (lookahead == 'S' || - lookahead == 's') ADVANCE(914); + lookahead == 's') ADVANCE(1071); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1052: + case 1245: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(1068); + lookahead == 'n') ADVANCE(1265); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1053: + case 1246: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(807); + lookahead == 'n') ADVANCE(964); if (lookahead == 'S' || - lookahead == 's') ADVANCE(914); + lookahead == 's') ADVANCE(1071); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1054: + case 1247: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(810); + lookahead == 'n') ADVANCE(961); if (lookahead == 'S' || - lookahead == 's') ADVANCE(914); + lookahead == 's') ADVANCE(1071); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1055: + case 1248: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(885); + lookahead == 'n') ADVANCE(965); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(1071); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1056: + case 1249: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(808); + lookahead == 'n') ADVANCE(947); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(1078); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1057: + case 1250: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(834); + lookahead == 'n') ADVANCE(1032); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1058: + case 1251: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'N' || lookahead == 'n') ADVANCE(962); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1252: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(908); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1253: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(986); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1254: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(1178); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1255: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'N' || + lookahead == 'n') ADVANCE(1131); if (lookahead == 'S' || - lookahead == 's') ADVANCE(761); + lookahead == 's') ADVANCE(886); + if (lookahead == 'T' || + lookahead == 't') ADVANCE(1080); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1059: + case 1256: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(962); + lookahead == 'n') ADVANCE(1131); if (lookahead == 'S' || - lookahead == 's') ADVANCE(952); + lookahead == 's') ADVANCE(1119); + if (lookahead == 'T' || + lookahead == 't') ADVANCE(1080); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1060: + case 1257: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(1111); + lookahead == 'n') ADVANCE(1314); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1061: + case 1258: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(1116); + lookahead == 'n') ADVANCE(1322); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1062: + case 1259: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(1009); + lookahead == 'n') ADVANCE(1190); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1063: + case 1260: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(959); + lookahead == 'n') ADVANCE(1129); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1064: + case 1261: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(1033); + lookahead == 'n') ADVANCE(1220); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1065: + case 1262: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(1122); + lookahead == 'n') ADVANCE(1329); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1066: + case 1263: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(1113); + lookahead == 'n') ADVANCE(1316); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1067: + case 1264: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(989); + lookahead == 'n') ADVANCE(1161); if (lookahead == 'S' || - lookahead == 's') ADVANCE(991); + lookahead == 's') ADVANCE(1162); if (lookahead == 'T' || - lookahead == 't') ADVANCE(992); + lookahead == 't') ADVANCE(1164); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1068: + case 1265: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(989); + lookahead == 'n') ADVANCE(1161); if (lookahead == 'T' || - lookahead == 't') ADVANCE(992); + lookahead == 't') ADVANCE(1164); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1069: + case 1266: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'N' || - lookahead == 'n') ADVANCE(1132); + lookahead == 'n') ADVANCE(1341); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1070: + case 1267: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(1044); + lookahead == 'o') ADVANCE(1239); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(970); + lookahead == 'r') ADVANCE(1139); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1071: + case 1268: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(1012); + lookahead == 'o') ADVANCE(1195); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1072: + case 1269: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(1082); + lookahead == 'o') ADVANCE(1281); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1073: + case 1270: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(1114); + lookahead == 'o') ADVANCE(1320); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(1035); + lookahead == 'u') ADVANCE(1222); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1074: + case 1271: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(1114); + lookahead == 'o') ADVANCE(1320); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1075: + case 1272: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(1134); + lookahead == 'o') ADVANCE(1343); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1076: + case 1273: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(1043); + lookahead == 'o') ADVANCE(1233); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1077: + case 1274: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(997); + lookahead == 'o') ADVANCE(1174); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1078: + case 1275: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(961); + lookahead == 'o') ADVANCE(1240); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1079: + case 1276: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(1057); + lookahead == 'o') ADVANCE(1228); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1080: + case 1277: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(1106); + lookahead == 'o') ADVANCE(1130); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1081: + case 1278: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'O' || - lookahead == 'o') ADVANCE(1037); + lookahead == 'o') ADVANCE(1253); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1082: + case 1279: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(1225); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1280: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'O' || + lookahead == 'o') ADVANCE(1307); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1281: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'P' || - lookahead == 'p') ADVANCE(826); + lookahead == 'p') ADVANCE(978); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1083: + case 1282: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'P' || - lookahead == 'p') ADVANCE(856); + lookahead == 'p') ADVANCE(1006); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1084: + case 1283: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'P' || - lookahead == 'p') ADVANCE(966); + lookahead == 'p') ADVANCE(1135); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1085: + case 1284: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'Q' || - lookahead == 'q') ADVANCE(1138); + lookahead == 'q') ADVANCE(1351); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1086: + case 1285: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'Q' || - lookahead == 'q') ADVANCE(1139); + lookahead == 'q') ADVANCE(1353); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1087: + case 1286: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(931); + lookahead == 'r') ADVANCE(1092); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1088: + case 1287: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(965); + lookahead == 'r') ADVANCE(1134); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1089: + case 1288: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(1135); + lookahead == 'r') ADVANCE(1347); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1090: + case 1289: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(743); + lookahead == 'r') ADVANCE(900); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1091: + case 1290: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(898); + lookahead == 'r') ADVANCE(1048); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1092: + case 1291: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(1143); + lookahead == 'r') ADVANCE(1357); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1093: + case 1292: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(892); + lookahead == 'r') ADVANCE(1039); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1094: + case 1293: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(920); + lookahead == 'r') ADVANCE(1077); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1095: + case 1294: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(921); + lookahead == 'r') ADVANCE(1361); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1096: + case 1295: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(1145); + lookahead == 'r') ADVANCE(1078); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1097: + case 1296: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(1072); + lookahead == 'r') ADVANCE(1100); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1098: + case 1297: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(1076); + lookahead == 'r') ADVANCE(1359); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1298: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(1273); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(1034); + lookahead == 'u') ADVANCE(1221); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1099: + case 1299: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(1015); + lookahead == 'r') ADVANCE(1272); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1100: + case 1300: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(1075); + lookahead == 'r') ADVANCE(1199); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1101: + case 1301: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(932); + lookahead == 'r') ADVANCE(1093); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1102: + case 1302: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(1119); + lookahead == 'r') ADVANCE(1111); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1103: + case 1303: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(1018); + lookahead == 'r') ADVANCE(1326); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1104: + case 1304: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(945); + lookahead == 'r') ADVANCE(1205); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1105: + case 1305: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(974); + lookahead == 'r') ADVANCE(1144); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1106: + case 1306: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'R' || - lookahead == 'r') ADVANCE(987); + lookahead == 'r') ADVANCE(1157); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1107: + case 1307: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(1165); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1308: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'R' || + lookahead == 'r') ADVANCE(1313); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1309: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'S' || - lookahead == 's') ADVANCE(953); + lookahead == 's') ADVANCE(1201); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1108: + case 1310: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'S' || - lookahead == 's') ADVANCE(1110); + lookahead == 's') ADVANCE(1140); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1109: + case 1311: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'S' || - lookahead == 's') ADVANCE(973); + lookahead == 's') ADVANCE(1120); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1110: + case 1312: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'S' || - lookahead == 's') ADVANCE(1020); + lookahead == 's') ADVANCE(1143); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1111: + case 1313: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'S' || - lookahead == 's') ADVANCE(1130); + lookahead == 's') ADVANCE(1194); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1112: + case 1314: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'S' || - lookahead == 's') ADVANCE(980); + lookahead == 's') ADVANCE(1339); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1113: + case 1315: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'S' || - lookahead == 's') ADVANCE(991); + lookahead == 's') ADVANCE(1151); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1114: + case 1316: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(1162); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1317: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(1209); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1318: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'S' || + lookahead == 's') ADVANCE(1317); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1319: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'T' || - lookahead == 't') ADVANCE(759); + lookahead == 't') ADVANCE(1362); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1115: + case 1320: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'T' || - lookahead == 't') ADVANCE(900); + lookahead == 't') ADVANCE(888); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1116: + case 1321: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'T' || - lookahead == 't') ADVANCE(839); + lookahead == 't') ADVANCE(1050); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1117: + case 1322: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'T' || - lookahead == 't') ADVANCE(902); + lookahead == 't') ADVANCE(990); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1118: + case 1323: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'T' || - lookahead == 't') ADVANCE(735); + lookahead == 't') ADVANCE(1041); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1119: + case 1324: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'T' || - lookahead == 't') ADVANCE(845); + lookahead == 't') ADVANCE(1052); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1120: + case 1325: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'T' || - lookahead == 't') ADVANCE(843); + lookahead == 't') ADVANCE(875); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1121: + case 1326: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'T' || - lookahead == 't') ADVANCE(754); + lookahead == 't') ADVANCE(893); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1122: + case 1327: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'T' || - lookahead == 't') ADVANCE(878); + lookahead == 't') ADVANCE(994); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1123: + case 1328: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'T' || - lookahead == 't') ADVANCE(812); + lookahead == 't') ADVANCE(912); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1124: + case 1329: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'T' || - lookahead == 't') ADVANCE(814); + lookahead == 't') ADVANCE(1025); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1125: + case 1330: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'T' || - lookahead == 't') ADVANCE(1004); + lookahead == 't') ADVANCE(967); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1126: + case 1331: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'T' || - lookahead == 't') ADVANCE(1077); + lookahead == 't') ADVANCE(969); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1127: + case 1332: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'T' || - lookahead == 't') ADVANCE(975); + lookahead == 't') ADVANCE(1182); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1128: + case 1333: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'T' || - lookahead == 't') ADVANCE(976); + lookahead == 't') ADVANCE(1183); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1129: + case 1334: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'T' || - lookahead == 't') ADVANCE(943); + lookahead == 't') ADVANCE(1274); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1130: + case 1335: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'T' || - lookahead == 't') ADVANCE(1104); + lookahead == 't') ADVANCE(1145); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1131: + case 1336: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'T' || - lookahead == 't') ADVANCE(986); + lookahead == 't') ADVANCE(1146); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1132: + case 1337: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'T' || - lookahead == 't') ADVANCE(992); + lookahead == 't') ADVANCE(1108); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1133: + case 1338: ACCEPT_TOKEN(sym__unquoted_identifier); - if (lookahead == 'U' || - lookahead == 'u') ADVANCE(1034); + if (lookahead == 'T' || + lookahead == 't') ADVANCE(1147); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1134: + case 1339: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'T' || + lookahead == 't') ADVANCE(1302); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1340: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'T' || + lookahead == 't') ADVANCE(1159); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1341: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'T' || + lookahead == 't') ADVANCE(1164); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1342: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'T' || + lookahead == 't') ADVANCE(1166); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1343: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(1083); + lookahead == 'u') ADVANCE(1282); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1135: + case 1344: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(972); + lookahead == 'u') ADVANCE(1115); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1136: + case 1345: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(1123); + lookahead == 'u') ADVANCE(1221); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1137: + case 1346: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(1124); + lookahead == 'u') ADVANCE(1236); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1138: + case 1347: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(977); + lookahead == 'u') ADVANCE(1142); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1139: + case 1348: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(994); + lookahead == 'u') ADVANCE(1319); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1140: + case 1349: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(1040); + lookahead == 'u') ADVANCE(1330); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1141: + case 1350: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(964); + lookahead == 'u') ADVANCE(1331); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1142: + case 1351: ACCEPT_TOKEN(sym__unquoted_identifier); if (lookahead == 'U' || - lookahead == 'u') ADVANCE(949); + lookahead == 'u') ADVANCE(1148); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1143: + case 1352: ACCEPT_TOKEN(sym__unquoted_identifier); - if (lookahead == 'V' || - lookahead == 'v') ADVANCE(937); + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(1308); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1144: + case 1353: ACCEPT_TOKEN(sym__unquoted_identifier); - if (lookahead == 'X' || - lookahead == 'x') ADVANCE(958); + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(1169); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1145: + case 1354: ACCEPT_TOKEN(sym__unquoted_identifier); - if (lookahead == 'Y' || - lookahead == 'y') ADVANCE(890); + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(1230); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1146: + case 1355: ACCEPT_TOKEN(sym__unquoted_identifier); - if (lookahead == 'Y' || - lookahead == 'y') ADVANCE(749); + if (lookahead == 'U' || + lookahead == 'u') ADVANCE(1133); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1147: + case 1356: ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'V' || + lookahead == 'v') ADVANCE(1153); if (('0' <= lookahead && lookahead <= '9') || ('A' <= lookahead && lookahead <= 'Z') || lookahead == '_' || - ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1147); + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1148: - ACCEPT_TOKEN(anon_sym_BQUOTE); + case 1357: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'V' || + lookahead == 'v') ADVANCE(1101); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); END_STATE(); - case 1149: + case 1358: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'X' || + lookahead == 'x') ADVANCE(1127); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1359: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'Y' || + lookahead == 'y') ADVANCE(1037); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1360: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'Y' || + lookahead == 'y') ADVANCE(906); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1361: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (lookahead == 'Y' || + lookahead == 'y') ADVANCE(1200); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1362: + ACCEPT_TOKEN(sym__unquoted_identifier); + if (('0' <= lookahead && lookahead <= '9') || + ('A' <= lookahead && lookahead <= 'Z') || + lookahead == '_' || + ('a' <= lookahead && lookahead <= 'z')) ADVANCE(1362); + END_STATE(); + case 1363: + ACCEPT_TOKEN(anon_sym_BQUOTE); + END_STATE(); + case 1364: ACCEPT_TOKEN(aux_sym__quoted_identifier_token1); - if (lookahead == '\n') ADVANCE(1155); - if (lookahead == '\r') ADVANCE(1150); + if (lookahead == '\n') ADVANCE(1370); + if (lookahead == '\r') ADVANCE(1365); if (lookahead != 0 && - lookahead != '`') ADVANCE(1157); + lookahead != '`') ADVANCE(1372); END_STATE(); - case 1150: + case 1365: ACCEPT_TOKEN(aux_sym__quoted_identifier_token1); - if (lookahead == '\n') ADVANCE(1155); + if (lookahead == '\n') ADVANCE(1370); if (lookahead != 0 && - lookahead != '`') ADVANCE(1157); + lookahead != '`') ADVANCE(1372); END_STATE(); - case 1151: + case 1366: ACCEPT_TOKEN(aux_sym__quoted_identifier_token1); - if (lookahead == '\n') ADVANCE(1157); - if (lookahead == '`') ADVANCE(1196); - if (lookahead != 0) ADVANCE(1151); + if (lookahead == '\n') ADVANCE(1372); + if (lookahead == '`') ADVANCE(1417); + if (lookahead != 0) ADVANCE(1366); END_STATE(); - case 1152: + case 1367: ACCEPT_TOKEN(aux_sym__quoted_identifier_token1); - if (lookahead == '*') ADVANCE(1154); + if (lookahead == '*') ADVANCE(1369); if (lookahead != 0 && - lookahead != '`') ADVANCE(1157); + lookahead != '`') ADVANCE(1372); END_STATE(); - case 1153: + case 1368: ACCEPT_TOKEN(aux_sym__quoted_identifier_token1); - if (lookahead == '*') ADVANCE(1153); - if (lookahead == '/') ADVANCE(1157); - if (lookahead == '`') ADVANCE(123); - if (lookahead != 0) ADVANCE(1154); + if (lookahead == '*') ADVANCE(1368); + if (lookahead == '/') ADVANCE(1372); + if (lookahead == '`') ADVANCE(177); + if (lookahead != 0) ADVANCE(1369); END_STATE(); - case 1154: + case 1369: ACCEPT_TOKEN(aux_sym__quoted_identifier_token1); - if (lookahead == '*') ADVANCE(1153); - if (lookahead == '`') ADVANCE(123); - if (lookahead != 0) ADVANCE(1154); + if (lookahead == '*') ADVANCE(1368); + if (lookahead == '`') ADVANCE(177); + if (lookahead != 0) ADVANCE(1369); END_STATE(); - case 1155: + case 1370: ACCEPT_TOKEN(aux_sym__quoted_identifier_token1); - if (lookahead == '-') ADVANCE(1156); - if (lookahead == '/') ADVANCE(1152); - if (lookahead == '\\') ADVANCE(1149); + if (lookahead == '-') ADVANCE(1371); + if (lookahead == '/') ADVANCE(1367); + if (lookahead == '\\') ADVANCE(1364); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -11182,67 +14190,67 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) ADVANCE(1155); + lookahead == 65279) ADVANCE(1370); if (lookahead != 0 && - lookahead != '`') ADVANCE(1157); + lookahead != '`') ADVANCE(1372); END_STATE(); - case 1156: + case 1371: ACCEPT_TOKEN(aux_sym__quoted_identifier_token1); - if (lookahead == '-') ADVANCE(1151); + if (lookahead == '-') ADVANCE(1366); if (lookahead != 0 && - lookahead != '`') ADVANCE(1157); + lookahead != '`') ADVANCE(1372); END_STATE(); - case 1157: + case 1372: ACCEPT_TOKEN(aux_sym__quoted_identifier_token1); if (lookahead != 0 && - lookahead != '`') ADVANCE(1157); + lookahead != '`') ADVANCE(1372); END_STATE(); - case 1158: + case 1373: ACCEPT_TOKEN(anon_sym_DQUOTE); END_STATE(); - case 1159: + case 1374: ACCEPT_TOKEN(aux_sym__quoted_identifier_token2); - if (lookahead == '\n') ADVANCE(1165); - if (lookahead == '\r') ADVANCE(1160); + if (lookahead == '\n') ADVANCE(1380); + if (lookahead == '\r') ADVANCE(1375); if (lookahead != 0 && - lookahead != '"') ADVANCE(1167); + lookahead != '"') ADVANCE(1382); END_STATE(); - case 1160: + case 1375: ACCEPT_TOKEN(aux_sym__quoted_identifier_token2); - if (lookahead == '\n') ADVANCE(1165); + if (lookahead == '\n') ADVANCE(1380); if (lookahead != 0 && - lookahead != '"') ADVANCE(1167); + lookahead != '"') ADVANCE(1382); END_STATE(); - case 1161: + case 1376: ACCEPT_TOKEN(aux_sym__quoted_identifier_token2); - if (lookahead == '\n') ADVANCE(1167); - if (lookahead == '"') ADVANCE(1196); - if (lookahead != 0) ADVANCE(1161); + if (lookahead == '\n') ADVANCE(1382); + if (lookahead == '"') ADVANCE(1417); + if (lookahead != 0) ADVANCE(1376); END_STATE(); - case 1162: + case 1377: ACCEPT_TOKEN(aux_sym__quoted_identifier_token2); - if (lookahead == '"') ADVANCE(123); - if (lookahead == '*') ADVANCE(1162); - if (lookahead == '/') ADVANCE(1167); - if (lookahead != 0) ADVANCE(1163); + if (lookahead == '"') ADVANCE(177); + if (lookahead == '*') ADVANCE(1377); + if (lookahead == '/') ADVANCE(1382); + if (lookahead != 0) ADVANCE(1378); END_STATE(); - case 1163: + case 1378: ACCEPT_TOKEN(aux_sym__quoted_identifier_token2); - if (lookahead == '"') ADVANCE(123); - if (lookahead == '*') ADVANCE(1162); - if (lookahead != 0) ADVANCE(1163); + if (lookahead == '"') ADVANCE(177); + if (lookahead == '*') ADVANCE(1377); + if (lookahead != 0) ADVANCE(1378); END_STATE(); - case 1164: + case 1379: ACCEPT_TOKEN(aux_sym__quoted_identifier_token2); - if (lookahead == '*') ADVANCE(1163); + if (lookahead == '*') ADVANCE(1378); if (lookahead != 0 && - lookahead != '"') ADVANCE(1167); + lookahead != '"') ADVANCE(1382); END_STATE(); - case 1165: + case 1380: ACCEPT_TOKEN(aux_sym__quoted_identifier_token2); - if (lookahead == '-') ADVANCE(1166); - if (lookahead == '/') ADVANCE(1164); - if (lookahead == '\\') ADVANCE(1159); + if (lookahead == '-') ADVANCE(1381); + if (lookahead == '/') ADVANCE(1379); + if (lookahead == '\\') ADVANCE(1374); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -11250,74 +14258,74 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) ADVANCE(1165); + lookahead == 65279) ADVANCE(1380); if (lookahead != 0 && - lookahead != '"') ADVANCE(1167); + lookahead != '"') ADVANCE(1382); END_STATE(); - case 1166: + case 1381: ACCEPT_TOKEN(aux_sym__quoted_identifier_token2); - if (lookahead == '-') ADVANCE(1161); + if (lookahead == '-') ADVANCE(1376); if (lookahead != 0 && - lookahead != '"') ADVANCE(1167); + lookahead != '"') ADVANCE(1382); END_STATE(); - case 1167: + case 1382: ACCEPT_TOKEN(aux_sym__quoted_identifier_token2); if (lookahead != 0 && - lookahead != '"') ADVANCE(1167); + lookahead != '"') ADVANCE(1382); END_STATE(); - case 1168: + case 1383: ACCEPT_TOKEN(anon_sym_DOT); END_STATE(); - case 1169: + case 1384: ACCEPT_TOKEN(anon_sym_DOT); - if (lookahead == '*') ADVANCE(1226); + if (lookahead == '*') ADVANCE(1447); END_STATE(); - case 1170: + case 1385: ACCEPT_TOKEN(anon_sym_SQUOTE); END_STATE(); - case 1171: + case 1386: ACCEPT_TOKEN(aux_sym_string_token1); - if (lookahead == '\n') ADVANCE(1177); - if (lookahead == '\r') ADVANCE(1172); + if (lookahead == '\n') ADVANCE(1392); + if (lookahead == '\r') ADVANCE(1387); if (lookahead != 0 && - lookahead != '\'') ADVANCE(1179); + lookahead != '\'') ADVANCE(1394); END_STATE(); - case 1172: + case 1387: ACCEPT_TOKEN(aux_sym_string_token1); - if (lookahead == '\n') ADVANCE(1177); + if (lookahead == '\n') ADVANCE(1392); if (lookahead != 0 && - lookahead != '\'') ADVANCE(1179); + lookahead != '\'') ADVANCE(1394); END_STATE(); - case 1173: + case 1388: ACCEPT_TOKEN(aux_sym_string_token1); - if (lookahead == '\n') ADVANCE(1179); - if (lookahead == '\'') ADVANCE(1196); - if (lookahead != 0) ADVANCE(1173); + if (lookahead == '\n') ADVANCE(1394); + if (lookahead == '\'') ADVANCE(1417); + if (lookahead != 0) ADVANCE(1388); END_STATE(); - case 1174: + case 1389: ACCEPT_TOKEN(aux_sym_string_token1); - if (lookahead == '\'') ADVANCE(123); - if (lookahead == '*') ADVANCE(1174); - if (lookahead == '/') ADVANCE(1179); - if (lookahead != 0) ADVANCE(1175); + if (lookahead == '\'') ADVANCE(177); + if (lookahead == '*') ADVANCE(1389); + if (lookahead == '/') ADVANCE(1394); + if (lookahead != 0) ADVANCE(1390); END_STATE(); - case 1175: + case 1390: ACCEPT_TOKEN(aux_sym_string_token1); - if (lookahead == '\'') ADVANCE(123); - if (lookahead == '*') ADVANCE(1174); - if (lookahead != 0) ADVANCE(1175); + if (lookahead == '\'') ADVANCE(177); + if (lookahead == '*') ADVANCE(1389); + if (lookahead != 0) ADVANCE(1390); END_STATE(); - case 1176: + case 1391: ACCEPT_TOKEN(aux_sym_string_token1); - if (lookahead == '*') ADVANCE(1175); + if (lookahead == '*') ADVANCE(1390); if (lookahead != 0 && - lookahead != '\'') ADVANCE(1179); + lookahead != '\'') ADVANCE(1394); END_STATE(); - case 1177: + case 1392: ACCEPT_TOKEN(aux_sym_string_token1); - if (lookahead == '-') ADVANCE(1178); - if (lookahead == '/') ADVANCE(1176); - if (lookahead == '\\') ADVANCE(1171); + if (lookahead == '-') ADVANCE(1393); + if (lookahead == '/') ADVANCE(1391); + if (lookahead == '\\') ADVANCE(1386); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -11325,55 +14333,55 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) ADVANCE(1177); + lookahead == 65279) ADVANCE(1392); if (lookahead != 0 && - lookahead != '\'') ADVANCE(1179); + lookahead != '\'') ADVANCE(1394); END_STATE(); - case 1178: + case 1393: ACCEPT_TOKEN(aux_sym_string_token1); - if (lookahead == '-') ADVANCE(1173); + if (lookahead == '-') ADVANCE(1388); if (lookahead != 0 && - lookahead != '\'') ADVANCE(1179); + lookahead != '\'') ADVANCE(1394); END_STATE(); - case 1179: + case 1394: ACCEPT_TOKEN(aux_sym_string_token1); if (lookahead != 0 && - lookahead != '\'') ADVANCE(1179); + lookahead != '\'') ADVANCE(1394); END_STATE(); - case 1180: + case 1395: ACCEPT_TOKEN(anon_sym_DOLLAR_DOLLAR); END_STATE(); - case 1181: + case 1396: ACCEPT_TOKEN(aux_sym_string_token2); - if (lookahead == '\n') ADVANCE(1185); - if (lookahead == '\r') ADVANCE(1182); - if (lookahead == '$') ADVANCE(713); - if (lookahead != 0) ADVANCE(1187); + if (lookahead == '\n') ADVANCE(1400); + if (lookahead == '\r') ADVANCE(1397); + if (lookahead == '$') ADVANCE(844); + if (lookahead != 0) ADVANCE(1402); END_STATE(); - case 1182: + case 1397: ACCEPT_TOKEN(aux_sym_string_token2); - if (lookahead == '\n') ADVANCE(1185); - if (lookahead == '$') ADVANCE(713); - if (lookahead != 0) ADVANCE(1187); + if (lookahead == '\n') ADVANCE(1400); + if (lookahead == '$') ADVANCE(844); + if (lookahead != 0) ADVANCE(1402); END_STATE(); - case 1183: + case 1398: ACCEPT_TOKEN(aux_sym_string_token2); - if (lookahead == '\n') ADVANCE(1187); - if (lookahead == '$') ADVANCE(1195); - if (lookahead != 0) ADVANCE(1183); + if (lookahead == '\n') ADVANCE(1402); + if (lookahead == '$') ADVANCE(1416); + if (lookahead != 0) ADVANCE(1398); END_STATE(); - case 1184: + case 1399: ACCEPT_TOKEN(aux_sym_string_token2); - if (lookahead == '$') ADVANCE(713); - if (lookahead == '*') ADVANCE(1189); - if (lookahead != 0) ADVANCE(1187); + if (lookahead == '$') ADVANCE(844); + if (lookahead == '*') ADVANCE(1404); + if (lookahead != 0) ADVANCE(1402); END_STATE(); - case 1185: + case 1400: ACCEPT_TOKEN(aux_sym_string_token2); - if (lookahead == '$') ADVANCE(713); - if (lookahead == '-') ADVANCE(1186); - if (lookahead == '/') ADVANCE(1184); - if (lookahead == '\\') ADVANCE(1181); + if (lookahead == '$') ADVANCE(844); + if (lookahead == '-') ADVANCE(1401); + if (lookahead == '/') ADVANCE(1399); + if (lookahead == '\\') ADVANCE(1396); if (lookahead == '\t' || lookahead == '\n' || lookahead == '\f' || @@ -11381,167 +14389,188 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { lookahead == ' ' || lookahead == 8203 || lookahead == 8288 || - lookahead == 65279) ADVANCE(1185); - if (lookahead != 0) ADVANCE(1187); + lookahead == 65279) ADVANCE(1400); + if (lookahead != 0) ADVANCE(1402); END_STATE(); - case 1186: + case 1401: ACCEPT_TOKEN(aux_sym_string_token2); - if (lookahead == '$') ADVANCE(713); - if (lookahead == '-') ADVANCE(1183); - if (lookahead != 0) ADVANCE(1187); + if (lookahead == '$') ADVANCE(844); + if (lookahead == '-') ADVANCE(1398); + if (lookahead != 0) ADVANCE(1402); END_STATE(); - case 1187: + case 1402: ACCEPT_TOKEN(aux_sym_string_token2); - if (lookahead == '$') ADVANCE(713); - if (lookahead != 0) ADVANCE(1187); + if (lookahead == '$') ADVANCE(844); + if (lookahead != 0) ADVANCE(1402); END_STATE(); - case 1188: + case 1403: ACCEPT_TOKEN(aux_sym_string_token2); - if (lookahead == '$') ADVANCE(115); - if (lookahead == '*') ADVANCE(1188); - if (lookahead == '/') ADVANCE(1187); - if (lookahead != 0) ADVANCE(1189); + if (lookahead == '$') ADVANCE(167); + if (lookahead == '*') ADVANCE(1403); + if (lookahead == '/') ADVANCE(1402); + if (lookahead != 0) ADVANCE(1404); END_STATE(); - case 1189: + case 1404: ACCEPT_TOKEN(aux_sym_string_token2); - if (lookahead == '$') ADVANCE(115); - if (lookahead == '*') ADVANCE(1188); - if (lookahead != 0) ADVANCE(1189); + if (lookahead == '$') ADVANCE(167); + if (lookahead == '*') ADVANCE(1403); + if (lookahead != 0) ADVANCE(1404); END_STATE(); - case 1190: + case 1405: + ACCEPT_TOKEN(anon_sym_DASH_GT); + if (lookahead == '>') ADVANCE(1406); + END_STATE(); + case 1406: ACCEPT_TOKEN(anon_sym_DASH_GT_GT); END_STATE(); - case 1191: + case 1407: + ACCEPT_TOKEN(anon_sym_POUND_GT); + if (lookahead == '>') ADVANCE(1408); + END_STATE(); + case 1408: + ACCEPT_TOKEN(anon_sym_POUND_GT_GT); + END_STATE(); + case 1409: + ACCEPT_TOKEN(aux_sym_type_token1); + END_STATE(); + case 1410: + ACCEPT_TOKEN(aux_sym_type_token2); + END_STATE(); + case 1411: + ACCEPT_TOKEN(aux_sym_type_token3); + END_STATE(); + case 1412: ACCEPT_TOKEN(anon_sym_LBRACK); END_STATE(); - case 1192: + case 1413: ACCEPT_TOKEN(anon_sym_RBRACK); END_STATE(); - case 1193: + case 1414: ACCEPT_TOKEN(anon_sym_COLON_COLON); END_STATE(); - case 1194: + case 1415: ACCEPT_TOKEN(sym_comment); END_STATE(); - case 1195: + case 1416: ACCEPT_TOKEN(sym_comment); - if (lookahead == '\n') ADVANCE(1187); - if (lookahead == '$') ADVANCE(1196); - if (lookahead != 0) ADVANCE(1183); + if (lookahead == '\n') ADVANCE(1402); + if (lookahead == '$') ADVANCE(1417); + if (lookahead != 0) ADVANCE(1398); END_STATE(); - case 1196: + case 1417: ACCEPT_TOKEN(sym_comment); if (lookahead != 0 && - lookahead != '\n') ADVANCE(1196); + lookahead != '\n') ADVANCE(1417); END_STATE(); - case 1197: + case 1418: ACCEPT_TOKEN(anon_sym_PLUS); END_STATE(); - case 1198: + case 1419: ACCEPT_TOKEN(anon_sym_DASH); - if (lookahead == '-') ADVANCE(1196); + if (lookahead == '-') ADVANCE(1417); END_STATE(); - case 1199: + case 1420: ACCEPT_TOKEN(anon_sym_DASH); - if (lookahead == '-') ADVANCE(1196); - if (lookahead == '>') ADVANCE(129); + if (lookahead == '-') ADVANCE(1417); + if (lookahead == '>') ADVANCE(1405); END_STATE(); - case 1200: + case 1421: ACCEPT_TOKEN(anon_sym_BANG_BANG); END_STATE(); - case 1201: + case 1422: ACCEPT_TOKEN(anon_sym_TILDE); END_STATE(); - case 1202: + case 1423: ACCEPT_TOKEN(anon_sym_TILDE); - if (lookahead == '*') ADVANCE(1222); + if (lookahead == '*') ADVANCE(1443); END_STATE(); - case 1203: + case 1424: ACCEPT_TOKEN(anon_sym_AT); END_STATE(); - case 1204: + case 1425: ACCEPT_TOKEN(anon_sym_PIPE_SLASH); END_STATE(); - case 1205: + case 1426: ACCEPT_TOKEN(anon_sym_PIPE_PIPE_SLASH); END_STATE(); - case 1206: + case 1427: ACCEPT_TOKEN(anon_sym_CARET); END_STATE(); - case 1207: + case 1428: ACCEPT_TOKEN(anon_sym_STAR); END_STATE(); - case 1208: + case 1429: ACCEPT_TOKEN(anon_sym_SLASH); - if (lookahead == '*') ADVANCE(123); + if (lookahead == '*') ADVANCE(177); END_STATE(); - case 1209: + case 1430: ACCEPT_TOKEN(anon_sym_PERCENT); END_STATE(); - case 1210: + case 1431: ACCEPT_TOKEN(anon_sym_LT_LT); END_STATE(); - case 1211: + case 1432: ACCEPT_TOKEN(anon_sym_GT_GT); END_STATE(); - case 1212: + case 1433: ACCEPT_TOKEN(anon_sym_AMP); END_STATE(); - case 1213: + case 1434: ACCEPT_TOKEN(anon_sym_AMP); - if (lookahead == '&') ADVANCE(1224); + if (lookahead == '&') ADVANCE(1445); END_STATE(); - case 1214: + case 1435: ACCEPT_TOKEN(anon_sym_PIPE); END_STATE(); - case 1215: + case 1436: ACCEPT_TOKEN(anon_sym_POUND); + if (lookahead == '>') ADVANCE(1407); END_STATE(); - case 1216: + case 1437: ACCEPT_TOKEN(anon_sym_LT); - if (lookahead == '<') ADVANCE(1210); - if (lookahead == '=') ADVANCE(1217); - if (lookahead == '>') ADVANCE(1218); + if (lookahead == '<') ADVANCE(1431); + if (lookahead == '=') ADVANCE(1438); + if (lookahead == '>') ADVANCE(1439); END_STATE(); - case 1217: + case 1438: ACCEPT_TOKEN(anon_sym_LT_EQ); END_STATE(); - case 1218: + case 1439: ACCEPT_TOKEN(anon_sym_LT_GT); END_STATE(); - case 1219: + case 1440: ACCEPT_TOKEN(anon_sym_GT); - if (lookahead == '=') ADVANCE(1220); - if (lookahead == '>') ADVANCE(1211); + if (lookahead == '=') ADVANCE(1441); + if (lookahead == '>') ADVANCE(1432); END_STATE(); - case 1220: + case 1441: ACCEPT_TOKEN(anon_sym_GT_EQ); END_STATE(); - case 1221: + case 1442: ACCEPT_TOKEN(anon_sym_BANG_TILDE); - if (lookahead == '*') ADVANCE(1223); + if (lookahead == '*') ADVANCE(1444); END_STATE(); - case 1222: + case 1443: ACCEPT_TOKEN(anon_sym_TILDE_STAR); END_STATE(); - case 1223: + case 1444: ACCEPT_TOKEN(anon_sym_BANG_TILDE_STAR); END_STATE(); - case 1224: + case 1445: ACCEPT_TOKEN(anon_sym_AMP_AMP); END_STATE(); - case 1225: + case 1446: ACCEPT_TOKEN(anon_sym_PIPE_PIPE); END_STATE(); - case 1226: + case 1447: ACCEPT_TOKEN(anon_sym_DOT_STAR); END_STATE(); - case 1227: + case 1448: ACCEPT_TOKEN(aux_sym_interval_expression_token1); END_STATE(); - case 1228: + case 1449: ACCEPT_TOKEN(anon_sym_DOLLAR); - if (lookahead == '$') ADVANCE(1180); + if (lookahead == '$') ADVANCE(1395); END_STATE(); default: return false; @@ -11550,1846 +14579,3406 @@ static bool ts_lex(TSLexer *lexer, TSStateId state) { static const TSLexMode ts_lex_modes[STATE_COUNT] = { [0] = {.lex_state = 0}, - [1] = {.lex_state = 0}, - [2] = {.lex_state = 714}, - [3] = {.lex_state = 718}, - [4] = {.lex_state = 719}, - [5] = {.lex_state = 718}, - [6] = {.lex_state = 718}, - [7] = {.lex_state = 720}, - [8] = {.lex_state = 715}, - [9] = {.lex_state = 724}, - [10] = {.lex_state = 724}, - [11] = {.lex_state = 718}, - [12] = {.lex_state = 718}, - [13] = {.lex_state = 716}, - [14] = {.lex_state = 715}, - [15] = {.lex_state = 715}, - [16] = {.lex_state = 720}, - [17] = {.lex_state = 718}, - [18] = {.lex_state = 720}, - [19] = {.lex_state = 716}, - [20] = {.lex_state = 715}, - [21] = {.lex_state = 720}, - [22] = {.lex_state = 720}, - [23] = {.lex_state = 716}, - [24] = {.lex_state = 715}, - [25] = {.lex_state = 715}, - [26] = {.lex_state = 715}, - [27] = {.lex_state = 720}, - [28] = {.lex_state = 720}, - [29] = {.lex_state = 716}, - [30] = {.lex_state = 81}, - [31] = {.lex_state = 716}, - [32] = {.lex_state = 721}, - [33] = {.lex_state = 716}, - [34] = {.lex_state = 721}, - [35] = {.lex_state = 721}, - [36] = {.lex_state = 718}, - [37] = {.lex_state = 716}, - [38] = {.lex_state = 720}, - [39] = {.lex_state = 718}, - [40] = {.lex_state = 718}, - [41] = {.lex_state = 718}, - [42] = {.lex_state = 718}, - [43] = {.lex_state = 715}, - [44] = {.lex_state = 715}, - [45] = {.lex_state = 718}, - [46] = {.lex_state = 721}, - [47] = {.lex_state = 720}, - [48] = {.lex_state = 720}, - [49] = {.lex_state = 715}, - [50] = {.lex_state = 715}, - [51] = {.lex_state = 719}, - [52] = {.lex_state = 720}, - [53] = {.lex_state = 720}, - [54] = {.lex_state = 715}, - [55] = {.lex_state = 720}, - [56] = {.lex_state = 716}, - [57] = {.lex_state = 715}, - [58] = {.lex_state = 715}, - [59] = {.lex_state = 715}, - [60] = {.lex_state = 715}, - [61] = {.lex_state = 715}, - [62] = {.lex_state = 715}, - [63] = {.lex_state = 720}, - [64] = {.lex_state = 720}, - [65] = {.lex_state = 720}, - [66] = {.lex_state = 715}, - [67] = {.lex_state = 715}, - [68] = {.lex_state = 715}, - [69] = {.lex_state = 715}, - [70] = {.lex_state = 720}, - [71] = {.lex_state = 720}, - [72] = {.lex_state = 720}, - [73] = {.lex_state = 720}, - [74] = {.lex_state = 716}, - [75] = {.lex_state = 715}, - [76] = {.lex_state = 720}, - [77] = {.lex_state = 716}, - [78] = {.lex_state = 715}, - [79] = {.lex_state = 715}, - [80] = {.lex_state = 720}, - [81] = {.lex_state = 720}, - [82] = {.lex_state = 720}, - [83] = {.lex_state = 720}, - [84] = {.lex_state = 715}, - [85] = {.lex_state = 720}, - [86] = {.lex_state = 720}, - [87] = {.lex_state = 716}, - [88] = {.lex_state = 720}, - [89] = {.lex_state = 720}, - [90] = {.lex_state = 720}, - [91] = {.lex_state = 720}, - [92] = {.lex_state = 720}, - [93] = {.lex_state = 719}, - [94] = {.lex_state = 720}, - [95] = {.lex_state = 720}, - [96] = {.lex_state = 720}, - [97] = {.lex_state = 720}, - [98] = {.lex_state = 720}, - [99] = {.lex_state = 716}, - [100] = {.lex_state = 720}, - [101] = {.lex_state = 720}, - [102] = {.lex_state = 720}, - [103] = {.lex_state = 716}, - [104] = {.lex_state = 720}, - [105] = {.lex_state = 716}, - [106] = {.lex_state = 716}, - [107] = {.lex_state = 715}, - [108] = {.lex_state = 716}, - [109] = {.lex_state = 716}, - [110] = {.lex_state = 715}, - [111] = {.lex_state = 720}, - [112] = {.lex_state = 715}, - [113] = {.lex_state = 716}, - [114] = {.lex_state = 715}, - [115] = {.lex_state = 716}, - [116] = {.lex_state = 715}, - [117] = {.lex_state = 715}, - [118] = {.lex_state = 715}, - [119] = {.lex_state = 715}, - [120] = {.lex_state = 715}, - [121] = {.lex_state = 715}, - [122] = {.lex_state = 715}, - [123] = {.lex_state = 715}, - [124] = {.lex_state = 715}, - [125] = {.lex_state = 715}, - [126] = {.lex_state = 720}, - [127] = {.lex_state = 715}, - [128] = {.lex_state = 715}, - [129] = {.lex_state = 715}, - [130] = {.lex_state = 716}, - [131] = {.lex_state = 720}, - [132] = {.lex_state = 720}, - [133] = {.lex_state = 716}, - [134] = {.lex_state = 715}, - [135] = {.lex_state = 715}, - [136] = {.lex_state = 715}, - [137] = {.lex_state = 720}, - [138] = {.lex_state = 719}, - [139] = {.lex_state = 715}, - [140] = {.lex_state = 716}, - [141] = {.lex_state = 716}, - [142] = {.lex_state = 724}, - [143] = {.lex_state = 719}, - [144] = {.lex_state = 716}, - [145] = {.lex_state = 719}, - [146] = {.lex_state = 724}, - [147] = {.lex_state = 716}, - [148] = {.lex_state = 716}, - [149] = {.lex_state = 716}, - [150] = {.lex_state = 716}, - [151] = {.lex_state = 716}, - [152] = {.lex_state = 716}, - [153] = {.lex_state = 716}, - [154] = {.lex_state = 716}, - [155] = {.lex_state = 716}, - [156] = {.lex_state = 724}, - [157] = {.lex_state = 716}, - [158] = {.lex_state = 716}, - [159] = {.lex_state = 716}, - [160] = {.lex_state = 716}, - [161] = {.lex_state = 716}, - [162] = {.lex_state = 716}, - [163] = {.lex_state = 716}, - [164] = {.lex_state = 716}, - [165] = {.lex_state = 716}, - [166] = {.lex_state = 716}, - [167] = {.lex_state = 716}, - [168] = {.lex_state = 716}, - [169] = {.lex_state = 716}, - [170] = {.lex_state = 716}, - [171] = {.lex_state = 724}, - [172] = {.lex_state = 720}, - [173] = {.lex_state = 719}, - [174] = {.lex_state = 719}, - [175] = {.lex_state = 718}, - [176] = {.lex_state = 719}, - [177] = {.lex_state = 719}, - [178] = {.lex_state = 719}, - [179] = {.lex_state = 719}, - [180] = {.lex_state = 717}, - [181] = {.lex_state = 719}, - [182] = {.lex_state = 719}, - [183] = {.lex_state = 719}, - [184] = {.lex_state = 719}, - [185] = {.lex_state = 719}, - [186] = {.lex_state = 719}, - [187] = {.lex_state = 719}, - [188] = {.lex_state = 719}, - [189] = {.lex_state = 94}, - [190] = {.lex_state = 719}, - [191] = {.lex_state = 719}, - [192] = {.lex_state = 84}, - [193] = {.lex_state = 84}, - [194] = {.lex_state = 719}, - [195] = {.lex_state = 87}, - [196] = {.lex_state = 84}, - [197] = {.lex_state = 94}, - [198] = {.lex_state = 719}, - [199] = {.lex_state = 719}, - [200] = {.lex_state = 719}, - [201] = {.lex_state = 719}, - [202] = {.lex_state = 719}, - [203] = {.lex_state = 719}, - [204] = {.lex_state = 719}, - [205] = {.lex_state = 719}, - [206] = {.lex_state = 719}, - [207] = {.lex_state = 719}, - [208] = {.lex_state = 717}, - [209] = {.lex_state = 84}, - [210] = {.lex_state = 717}, - [211] = {.lex_state = 719}, - [212] = {.lex_state = 84}, - [213] = {.lex_state = 84}, - [214] = {.lex_state = 84}, - [215] = {.lex_state = 719}, - [216] = {.lex_state = 94}, - [217] = {.lex_state = 719}, - [218] = {.lex_state = 719}, - [219] = {.lex_state = 84}, - [220] = {.lex_state = 719}, - [221] = {.lex_state = 84}, - [222] = {.lex_state = 719}, - [223] = {.lex_state = 84}, - [224] = {.lex_state = 84}, - [225] = {.lex_state = 719}, - [226] = {.lex_state = 719}, - [227] = {.lex_state = 84}, - [228] = {.lex_state = 719}, - [229] = {.lex_state = 84}, - [230] = {.lex_state = 719}, - [231] = {.lex_state = 719}, - [232] = {.lex_state = 719}, - [233] = {.lex_state = 719}, - [234] = {.lex_state = 719}, - [235] = {.lex_state = 719}, - [236] = {.lex_state = 82}, - [237] = {.lex_state = 94}, - [238] = {.lex_state = 82}, - [239] = {.lex_state = 82}, - [240] = {.lex_state = 87}, - [241] = {.lex_state = 717}, - [242] = {.lex_state = 717}, - [243] = {.lex_state = 82}, - [244] = {.lex_state = 87}, - [245] = {.lex_state = 82}, - [246] = {.lex_state = 82}, - [247] = {.lex_state = 88}, - [248] = {.lex_state = 94}, - [249] = {.lex_state = 82}, - [250] = {.lex_state = 87}, - [251] = {.lex_state = 82}, - [252] = {.lex_state = 82}, - [253] = {.lex_state = 88}, - [254] = {.lex_state = 82}, - [255] = {.lex_state = 82}, - [256] = {.lex_state = 82}, - [257] = {.lex_state = 82}, - [258] = {.lex_state = 82}, - [259] = {.lex_state = 82}, - [260] = {.lex_state = 717}, - [261] = {.lex_state = 87}, - [262] = {.lex_state = 717}, - [263] = {.lex_state = 85}, - [264] = {.lex_state = 82}, - [265] = {.lex_state = 82}, - [266] = {.lex_state = 94}, - [267] = {.lex_state = 82}, - [268] = {.lex_state = 88}, - [269] = {.lex_state = 82}, - [270] = {.lex_state = 85}, - [271] = {.lex_state = 82}, - [272] = {.lex_state = 94}, - [273] = {.lex_state = 82}, - [274] = {.lex_state = 82}, - [275] = {.lex_state = 82}, - [276] = {.lex_state = 82}, - [277] = {.lex_state = 82}, - [278] = {.lex_state = 82}, - [279] = {.lex_state = 82}, - [280] = {.lex_state = 82}, - [281] = {.lex_state = 82}, - [282] = {.lex_state = 82}, - [283] = {.lex_state = 82}, - [284] = {.lex_state = 82}, - [285] = {.lex_state = 82}, - [286] = {.lex_state = 82}, - [287] = {.lex_state = 82}, - [288] = {.lex_state = 82}, - [289] = {.lex_state = 82}, - [290] = {.lex_state = 82}, - [291] = {.lex_state = 82}, - [292] = {.lex_state = 82}, - [293] = {.lex_state = 82}, - [294] = {.lex_state = 82}, - [295] = {.lex_state = 82}, - [296] = {.lex_state = 82}, - [297] = {.lex_state = 82}, - [298] = {.lex_state = 82}, - [299] = {.lex_state = 82}, - [300] = {.lex_state = 82}, - [301] = {.lex_state = 82}, - [302] = {.lex_state = 82}, - [303] = {.lex_state = 82}, - [304] = {.lex_state = 82}, - [305] = {.lex_state = 82}, - [306] = {.lex_state = 82}, - [307] = {.lex_state = 82}, - [308] = {.lex_state = 82}, - [309] = {.lex_state = 82}, - [310] = {.lex_state = 82}, - [311] = {.lex_state = 82}, - [312] = {.lex_state = 82}, - [313] = {.lex_state = 82}, - [314] = {.lex_state = 82}, - [315] = {.lex_state = 82}, - [316] = {.lex_state = 82}, - [317] = {.lex_state = 82}, - [318] = {.lex_state = 82}, - [319] = {.lex_state = 82}, - [320] = {.lex_state = 82}, - [321] = {.lex_state = 82}, - [322] = {.lex_state = 82}, - [323] = {.lex_state = 82}, - [324] = {.lex_state = 82}, - [325] = {.lex_state = 82}, - [326] = {.lex_state = 82}, - [327] = {.lex_state = 82}, - [328] = {.lex_state = 82}, - [329] = {.lex_state = 82}, - [330] = {.lex_state = 82}, - [331] = {.lex_state = 82}, - [332] = {.lex_state = 82}, - [333] = {.lex_state = 82}, - [334] = {.lex_state = 82}, - [335] = {.lex_state = 82}, - [336] = {.lex_state = 82}, - [337] = {.lex_state = 82}, - [338] = {.lex_state = 82}, - [339] = {.lex_state = 82}, - [340] = {.lex_state = 82}, - [341] = {.lex_state = 82}, - [342] = {.lex_state = 82}, - [343] = {.lex_state = 82}, - [344] = {.lex_state = 82}, - [345] = {.lex_state = 82}, - [346] = {.lex_state = 82}, - [347] = {.lex_state = 82}, - [348] = {.lex_state = 82}, - [349] = {.lex_state = 82}, - [350] = {.lex_state = 82}, - [351] = {.lex_state = 82}, - [352] = {.lex_state = 82}, - [353] = {.lex_state = 82}, - [354] = {.lex_state = 82}, - [355] = {.lex_state = 82}, - [356] = {.lex_state = 82}, - [357] = {.lex_state = 82}, - [358] = {.lex_state = 82}, - [359] = {.lex_state = 82}, - [360] = {.lex_state = 82}, - [361] = {.lex_state = 82}, - [362] = {.lex_state = 82}, - [363] = {.lex_state = 82}, - [364] = {.lex_state = 82}, - [365] = {.lex_state = 82}, - [366] = {.lex_state = 87}, - [367] = {.lex_state = 82}, - [368] = {.lex_state = 88}, - [369] = {.lex_state = 82}, - [370] = {.lex_state = 82}, - [371] = {.lex_state = 88}, - [372] = {.lex_state = 82}, - [373] = {.lex_state = 82}, - [374] = {.lex_state = 82}, - [375] = {.lex_state = 82}, - [376] = {.lex_state = 82}, - [377] = {.lex_state = 82}, - [378] = {.lex_state = 82}, - [379] = {.lex_state = 82}, - [380] = {.lex_state = 82}, - [381] = {.lex_state = 82}, - [382] = {.lex_state = 82}, - [383] = {.lex_state = 82}, - [384] = {.lex_state = 82}, - [385] = {.lex_state = 82}, - [386] = {.lex_state = 82}, - [387] = {.lex_state = 82}, - [388] = {.lex_state = 82}, - [389] = {.lex_state = 82}, - [390] = {.lex_state = 82}, - [391] = {.lex_state = 82}, - [392] = {.lex_state = 82}, - [393] = {.lex_state = 82}, - [394] = {.lex_state = 82}, - [395] = {.lex_state = 82}, - [396] = {.lex_state = 82}, - [397] = {.lex_state = 82}, - [398] = {.lex_state = 87}, - [399] = {.lex_state = 82}, - [400] = {.lex_state = 82}, - [401] = {.lex_state = 82}, - [402] = {.lex_state = 82}, - [403] = {.lex_state = 82}, - [404] = {.lex_state = 82}, - [405] = {.lex_state = 82}, - [406] = {.lex_state = 82}, - [407] = {.lex_state = 82}, - [408] = {.lex_state = 82}, - [409] = {.lex_state = 82}, - [410] = {.lex_state = 82}, - [411] = {.lex_state = 82}, - [412] = {.lex_state = 82}, - [413] = {.lex_state = 82}, - [414] = {.lex_state = 82}, - [415] = {.lex_state = 82}, - [416] = {.lex_state = 82}, - [417] = {.lex_state = 82}, - [418] = {.lex_state = 82}, - [419] = {.lex_state = 82}, - [420] = {.lex_state = 82}, - [421] = {.lex_state = 82}, - [422] = {.lex_state = 82}, - [423] = {.lex_state = 82}, - [424] = {.lex_state = 82}, - [425] = {.lex_state = 82}, - [426] = {.lex_state = 82}, - [427] = {.lex_state = 82}, - [428] = {.lex_state = 82}, - [429] = {.lex_state = 82}, - [430] = {.lex_state = 82}, - [431] = {.lex_state = 82}, - [432] = {.lex_state = 82}, - [433] = {.lex_state = 82}, - [434] = {.lex_state = 82}, - [435] = {.lex_state = 82}, - [436] = {.lex_state = 82}, - [437] = {.lex_state = 82}, - [438] = {.lex_state = 82}, - [439] = {.lex_state = 82}, - [440] = {.lex_state = 82}, - [441] = {.lex_state = 82}, - [442] = {.lex_state = 82}, - [443] = {.lex_state = 82}, - [444] = {.lex_state = 82}, - [445] = {.lex_state = 82}, - [446] = {.lex_state = 82}, - [447] = {.lex_state = 82}, - [448] = {.lex_state = 82}, - [449] = {.lex_state = 82}, - [450] = {.lex_state = 718}, - [451] = {.lex_state = 718}, - [452] = {.lex_state = 718}, - [453] = {.lex_state = 718}, - [454] = {.lex_state = 88}, - [455] = {.lex_state = 717}, - [456] = {.lex_state = 88}, - [457] = {.lex_state = 718}, - [458] = {.lex_state = 718}, - [459] = {.lex_state = 717}, - [460] = {.lex_state = 718}, - [461] = {.lex_state = 717}, - [462] = {.lex_state = 718}, - [463] = {.lex_state = 718}, - [464] = {.lex_state = 718}, - [465] = {.lex_state = 718}, - [466] = {.lex_state = 717}, - [467] = {.lex_state = 87}, - [468] = {.lex_state = 718}, - [469] = {.lex_state = 718}, - [470] = {.lex_state = 718}, - [471] = {.lex_state = 718}, - [472] = {.lex_state = 87}, - [473] = {.lex_state = 718}, - [474] = {.lex_state = 717}, - [475] = {.lex_state = 94}, - [476] = {.lex_state = 717}, - [477] = {.lex_state = 717}, - [478] = {.lex_state = 718}, - [479] = {.lex_state = 718}, - [480] = {.lex_state = 718}, - [481] = {.lex_state = 718}, - [482] = {.lex_state = 718}, - [483] = {.lex_state = 718}, - [484] = {.lex_state = 718}, - [485] = {.lex_state = 718}, - [486] = {.lex_state = 718}, - [487] = {.lex_state = 717}, - [488] = {.lex_state = 717}, - [489] = {.lex_state = 718}, - [490] = {.lex_state = 718}, - [491] = {.lex_state = 717}, - [492] = {.lex_state = 717}, - [493] = {.lex_state = 718}, - [494] = {.lex_state = 718}, - [495] = {.lex_state = 718}, - [496] = {.lex_state = 717}, - [497] = {.lex_state = 717}, - [498] = {.lex_state = 717}, - [499] = {.lex_state = 87}, - [500] = {.lex_state = 717}, - [501] = {.lex_state = 717}, - [502] = {.lex_state = 87}, - [503] = {.lex_state = 717}, - [504] = {.lex_state = 717}, - [505] = {.lex_state = 717}, - [506] = {.lex_state = 717}, - [507] = {.lex_state = 720}, - [508] = {.lex_state = 717}, - [509] = {.lex_state = 87}, - [510] = {.lex_state = 87}, - [511] = {.lex_state = 87}, - [512] = {.lex_state = 87}, - [513] = {.lex_state = 88}, - [514] = {.lex_state = 717}, - [515] = {.lex_state = 87}, - [516] = {.lex_state = 717}, - [517] = {.lex_state = 717}, - [518] = {.lex_state = 87}, - [519] = {.lex_state = 717}, - [520] = {.lex_state = 97}, - [521] = {.lex_state = 717}, - [522] = {.lex_state = 87}, - [523] = {.lex_state = 94}, - [524] = {.lex_state = 87}, - [525] = {.lex_state = 87}, - [526] = {.lex_state = 94}, - [527] = {.lex_state = 717}, - [528] = {.lex_state = 717}, - [529] = {.lex_state = 717}, - [530] = {.lex_state = 717}, - [531] = {.lex_state = 718}, - [532] = {.lex_state = 88}, - [533] = {.lex_state = 94}, - [534] = {.lex_state = 94}, - [535] = {.lex_state = 717}, - [536] = {.lex_state = 717}, - [537] = {.lex_state = 717}, - [538] = {.lex_state = 94}, - [539] = {.lex_state = 717}, - [540] = {.lex_state = 717}, - [541] = {.lex_state = 94}, - [542] = {.lex_state = 718}, - [543] = {.lex_state = 717}, - [544] = {.lex_state = 94}, - [545] = {.lex_state = 720}, - [546] = {.lex_state = 94}, - [547] = {.lex_state = 94}, - [548] = {.lex_state = 94}, - [549] = {.lex_state = 717}, - [550] = {.lex_state = 94}, - [551] = {.lex_state = 94}, - [552] = {.lex_state = 717}, - [553] = {.lex_state = 717}, - [554] = {.lex_state = 717}, - [555] = {.lex_state = 87}, - [556] = {.lex_state = 87}, - [557] = {.lex_state = 94}, - [558] = {.lex_state = 94}, - [559] = {.lex_state = 94}, - [560] = {.lex_state = 88}, - [561] = {.lex_state = 94}, - [562] = {.lex_state = 88}, - [563] = {.lex_state = 94}, - [564] = {.lex_state = 88}, - [565] = {.lex_state = 94}, - [566] = {.lex_state = 94}, - [567] = {.lex_state = 94}, - [568] = {.lex_state = 94}, - [569] = {.lex_state = 88}, - [570] = {.lex_state = 94}, - [571] = {.lex_state = 88}, - [572] = {.lex_state = 94}, - [573] = {.lex_state = 94}, - [574] = {.lex_state = 94}, - [575] = {.lex_state = 88}, - [576] = {.lex_state = 94}, - [577] = {.lex_state = 94}, - [578] = {.lex_state = 88}, - [579] = {.lex_state = 88}, - [580] = {.lex_state = 94}, - [581] = {.lex_state = 88}, - [582] = {.lex_state = 87}, - [583] = {.lex_state = 87}, - [584] = {.lex_state = 87}, - [585] = {.lex_state = 87}, - [586] = {.lex_state = 87}, - [587] = {.lex_state = 94}, - [588] = {.lex_state = 720}, - [589] = {.lex_state = 87}, - [590] = {.lex_state = 87}, - [591] = {.lex_state = 87}, - [592] = {.lex_state = 87}, - [593] = {.lex_state = 87}, - [594] = {.lex_state = 87}, - [595] = {.lex_state = 87}, - [596] = {.lex_state = 87}, - [597] = {.lex_state = 87}, - [598] = {.lex_state = 87}, - [599] = {.lex_state = 87}, - [600] = {.lex_state = 87}, - [601] = {.lex_state = 87}, - [602] = {.lex_state = 87}, - [603] = {.lex_state = 87}, - [604] = {.lex_state = 87}, - [605] = {.lex_state = 87}, - [606] = {.lex_state = 88}, - [607] = {.lex_state = 97}, - [608] = {.lex_state = 88}, - [609] = {.lex_state = 94}, - [610] = {.lex_state = 94}, - [611] = {.lex_state = 94}, - [612] = {.lex_state = 94}, - [613] = {.lex_state = 94}, - [614] = {.lex_state = 94}, - [615] = {.lex_state = 97}, - [616] = {.lex_state = 87}, - [617] = {.lex_state = 87}, - [618] = {.lex_state = 88}, - [619] = {.lex_state = 94}, - [620] = {.lex_state = 94}, - [621] = {.lex_state = 94}, - [622] = {.lex_state = 87}, - [623] = {.lex_state = 88}, - [624] = {.lex_state = 88}, - [625] = {.lex_state = 94}, - [626] = {.lex_state = 720}, - [627] = {.lex_state = 720}, - [628] = {.lex_state = 88}, - [629] = {.lex_state = 88}, - [630] = {.lex_state = 88}, - [631] = {.lex_state = 718}, - [632] = {.lex_state = 720}, - [633] = {.lex_state = 88}, - [634] = {.lex_state = 97}, - [635] = {.lex_state = 88}, - [636] = {.lex_state = 97}, - [637] = {.lex_state = 88}, - [638] = {.lex_state = 88}, - [639] = {.lex_state = 88}, - [640] = {.lex_state = 88}, - [641] = {.lex_state = 88}, - [642] = {.lex_state = 88}, - [643] = {.lex_state = 88}, - [644] = {.lex_state = 88}, - [645] = {.lex_state = 89}, - [646] = {.lex_state = 720}, - [647] = {.lex_state = 88}, - [648] = {.lex_state = 88}, - [649] = {.lex_state = 88}, - [650] = {.lex_state = 88}, - [651] = {.lex_state = 88}, - [652] = {.lex_state = 88}, - [653] = {.lex_state = 88}, - [654] = {.lex_state = 88}, - [655] = {.lex_state = 88}, - [656] = {.lex_state = 88}, - [657] = {.lex_state = 88}, - [658] = {.lex_state = 89}, - [659] = {.lex_state = 97}, - [660] = {.lex_state = 97}, - [661] = {.lex_state = 718}, - [662] = {.lex_state = 89}, - [663] = {.lex_state = 89}, - [664] = {.lex_state = 718}, - [665] = {.lex_state = 89}, - [666] = {.lex_state = 89}, - [667] = {.lex_state = 89}, - [668] = {.lex_state = 95}, - [669] = {.lex_state = 96}, - [670] = {.lex_state = 97}, - [671] = {.lex_state = 89}, - [672] = {.lex_state = 89}, - [673] = {.lex_state = 725}, - [674] = {.lex_state = 97}, - [675] = {.lex_state = 95}, - [676] = {.lex_state = 97}, - [677] = {.lex_state = 97}, - [678] = {.lex_state = 97}, - [679] = {.lex_state = 717}, - [680] = {.lex_state = 725}, - [681] = {.lex_state = 97}, - [682] = {.lex_state = 96}, - [683] = {.lex_state = 96}, - [684] = {.lex_state = 97}, - [685] = {.lex_state = 97}, - [686] = {.lex_state = 95}, - [687] = {.lex_state = 97}, - [688] = {.lex_state = 0}, - [689] = {.lex_state = 97}, - [690] = {.lex_state = 0}, - [691] = {.lex_state = 97}, - [692] = {.lex_state = 97}, - [693] = {.lex_state = 97}, - [694] = {.lex_state = 96}, - [695] = {.lex_state = 97}, - [696] = {.lex_state = 97}, - [697] = {.lex_state = 97}, - [698] = {.lex_state = 97}, - [699] = {.lex_state = 97}, - [700] = {.lex_state = 97}, - [701] = {.lex_state = 95}, - [702] = {.lex_state = 97}, - [703] = {.lex_state = 97}, - [704] = {.lex_state = 95}, - [705] = {.lex_state = 717}, - [706] = {.lex_state = 97}, - [707] = {.lex_state = 97}, - [708] = {.lex_state = 97}, - [709] = {.lex_state = 97}, - [710] = {.lex_state = 97}, - [711] = {.lex_state = 97}, - [712] = {.lex_state = 97}, - [713] = {.lex_state = 97}, - [714] = {.lex_state = 97}, - [715] = {.lex_state = 717}, - [716] = {.lex_state = 97}, - [717] = {.lex_state = 97}, - [718] = {.lex_state = 97}, - [719] = {.lex_state = 97}, - [720] = {.lex_state = 97}, - [721] = {.lex_state = 97}, - [722] = {.lex_state = 96}, - [723] = {.lex_state = 97}, - [724] = {.lex_state = 717}, - [725] = {.lex_state = 717}, - [726] = {.lex_state = 717}, - [727] = {.lex_state = 97}, - [728] = {.lex_state = 97}, - [729] = {.lex_state = 97}, - [730] = {.lex_state = 89}, - [731] = {.lex_state = 97}, - [732] = {.lex_state = 717}, - [733] = {.lex_state = 89}, - [734] = {.lex_state = 89}, - [735] = {.lex_state = 95}, - [736] = {.lex_state = 89}, - [737] = {.lex_state = 95}, - [738] = {.lex_state = 96}, - [739] = {.lex_state = 96}, - [740] = {.lex_state = 89}, - [741] = {.lex_state = 89}, - [742] = {.lex_state = 89}, - [743] = {.lex_state = 89}, - [744] = {.lex_state = 89}, - [745] = {.lex_state = 89}, - [746] = {.lex_state = 89}, - [747] = {.lex_state = 89}, - [748] = {.lex_state = 89}, - [749] = {.lex_state = 89}, - [750] = {.lex_state = 89}, - [751] = {.lex_state = 89}, - [752] = {.lex_state = 89}, - [753] = {.lex_state = 89}, - [754] = {.lex_state = 89}, - [755] = {.lex_state = 89}, - [756] = {.lex_state = 89}, - [757] = {.lex_state = 89}, - [758] = {.lex_state = 89}, - [759] = {.lex_state = 89}, - [760] = {.lex_state = 89}, - [761] = {.lex_state = 89}, - [762] = {.lex_state = 89}, - [763] = {.lex_state = 89}, - [764] = {.lex_state = 89}, - [765] = {.lex_state = 89}, - [766] = {.lex_state = 89}, - [767] = {.lex_state = 89}, - [768] = {.lex_state = 89}, - [769] = {.lex_state = 89}, - [770] = {.lex_state = 89}, - [771] = {.lex_state = 89}, - [772] = {.lex_state = 89}, - [773] = {.lex_state = 89}, - [774] = {.lex_state = 89}, - [775] = {.lex_state = 719}, - [776] = {.lex_state = 717}, - [777] = {.lex_state = 717}, - [778] = {.lex_state = 96}, - [779] = {.lex_state = 719}, - [780] = {.lex_state = 719}, - [781] = {.lex_state = 717}, - [782] = {.lex_state = 95}, - [783] = {.lex_state = 719}, - [784] = {.lex_state = 719}, - [785] = {.lex_state = 95}, - [786] = {.lex_state = 95}, - [787] = {.lex_state = 95}, - [788] = {.lex_state = 95}, - [789] = {.lex_state = 95}, - [790] = {.lex_state = 95}, - [791] = {.lex_state = 96}, - [792] = {.lex_state = 95}, - [793] = {.lex_state = 96}, - [794] = {.lex_state = 96}, - [795] = {.lex_state = 95}, - [796] = {.lex_state = 96}, - [797] = {.lex_state = 96}, - [798] = {.lex_state = 95}, - [799] = {.lex_state = 719}, - [800] = {.lex_state = 96}, - [801] = {.lex_state = 95}, - [802] = {.lex_state = 95}, - [803] = {.lex_state = 96}, - [804] = {.lex_state = 95}, - [805] = {.lex_state = 96}, - [806] = {.lex_state = 96}, - [807] = {.lex_state = 96}, - [808] = {.lex_state = 96}, - [809] = {.lex_state = 719}, - [810] = {.lex_state = 96}, - [811] = {.lex_state = 95}, - [812] = {.lex_state = 726}, - [813] = {.lex_state = 96}, - [814] = {.lex_state = 96}, - [815] = {.lex_state = 96}, - [816] = {.lex_state = 96}, - [817] = {.lex_state = 96}, - [818] = {.lex_state = 96}, - [819] = {.lex_state = 96}, - [820] = {.lex_state = 718}, - [821] = {.lex_state = 96}, - [822] = {.lex_state = 96}, - [823] = {.lex_state = 96}, - [824] = {.lex_state = 96}, - [825] = {.lex_state = 96}, - [826] = {.lex_state = 96}, - [827] = {.lex_state = 96}, - [828] = {.lex_state = 96}, - [829] = {.lex_state = 96}, - [830] = {.lex_state = 96}, - [831] = {.lex_state = 718}, - [832] = {.lex_state = 96}, - [833] = {.lex_state = 96}, - [834] = {.lex_state = 96}, - [835] = {.lex_state = 96}, - [836] = {.lex_state = 95}, - [837] = {.lex_state = 96}, - [838] = {.lex_state = 96}, - [839] = {.lex_state = 96}, - [840] = {.lex_state = 96}, - [841] = {.lex_state = 96}, - [842] = {.lex_state = 95}, - [843] = {.lex_state = 95}, - [844] = {.lex_state = 95}, - [845] = {.lex_state = 95}, - [846] = {.lex_state = 95}, - [847] = {.lex_state = 95}, - [848] = {.lex_state = 95}, - [849] = {.lex_state = 95}, - [850] = {.lex_state = 95}, - [851] = {.lex_state = 95}, - [852] = {.lex_state = 95}, - [853] = {.lex_state = 95}, - [854] = {.lex_state = 95}, - [855] = {.lex_state = 95}, - [856] = {.lex_state = 96}, - [857] = {.lex_state = 0}, - [858] = {.lex_state = 95}, - [859] = {.lex_state = 95}, - [860] = {.lex_state = 95}, - [861] = {.lex_state = 95}, - [862] = {.lex_state = 95}, - [863] = {.lex_state = 95}, - [864] = {.lex_state = 95}, - [865] = {.lex_state = 95}, - [866] = {.lex_state = 95}, - [867] = {.lex_state = 95}, - [868] = {.lex_state = 95}, - [869] = {.lex_state = 94}, - [870] = {.lex_state = 94}, - [871] = {.lex_state = 94}, - [872] = {.lex_state = 94}, - [873] = {.lex_state = 718}, - [874] = {.lex_state = 94}, - [875] = {.lex_state = 94}, - [876] = {.lex_state = 94}, - [877] = {.lex_state = 94}, - [878] = {.lex_state = 94}, - [879] = {.lex_state = 94}, - [880] = {.lex_state = 94}, - [881] = {.lex_state = 718}, - [882] = {.lex_state = 94}, - [883] = {.lex_state = 94}, - [884] = {.lex_state = 94}, - [885] = {.lex_state = 718}, - [886] = {.lex_state = 94}, - [887] = {.lex_state = 94}, - [888] = {.lex_state = 94}, - [889] = {.lex_state = 718}, - [890] = {.lex_state = 94}, - [891] = {.lex_state = 94}, - [892] = {.lex_state = 718}, - [893] = {.lex_state = 94}, - [894] = {.lex_state = 94}, - [895] = {.lex_state = 94}, - [896] = {.lex_state = 718}, - [897] = {.lex_state = 94}, - [898] = {.lex_state = 95}, - [899] = {.lex_state = 94}, - [900] = {.lex_state = 94}, - [901] = {.lex_state = 94}, - [902] = {.lex_state = 718}, - [903] = {.lex_state = 95}, - [904] = {.lex_state = 94}, - [905] = {.lex_state = 718}, - [906] = {.lex_state = 94}, - [907] = {.lex_state = 719}, - [908] = {.lex_state = 0}, - [909] = {.lex_state = 0}, - [910] = {.lex_state = 94}, - [911] = {.lex_state = 718}, - [912] = {.lex_state = 94}, - [913] = {.lex_state = 0}, - [914] = {.lex_state = 94}, - [915] = {.lex_state = 94}, - [916] = {.lex_state = 94}, - [917] = {.lex_state = 718}, - [918] = {.lex_state = 94}, - [919] = {.lex_state = 94}, - [920] = {.lex_state = 94}, - [921] = {.lex_state = 94}, - [922] = {.lex_state = 94}, - [923] = {.lex_state = 94}, - [924] = {.lex_state = 94}, - [925] = {.lex_state = 94}, - [926] = {.lex_state = 94}, - [927] = {.lex_state = 94}, - [928] = {.lex_state = 94}, - [929] = {.lex_state = 94}, - [930] = {.lex_state = 94}, - [931] = {.lex_state = 94}, - [932] = {.lex_state = 94}, - [933] = {.lex_state = 94}, - [934] = {.lex_state = 94}, - [935] = {.lex_state = 718}, - [936] = {.lex_state = 94}, - [937] = {.lex_state = 718}, - [938] = {.lex_state = 94}, - [939] = {.lex_state = 94}, - [940] = {.lex_state = 94}, - [941] = {.lex_state = 94}, - [942] = {.lex_state = 94}, - [943] = {.lex_state = 94}, - [944] = {.lex_state = 94}, - [945] = {.lex_state = 94}, - [946] = {.lex_state = 94}, - [947] = {.lex_state = 719}, - [948] = {.lex_state = 719}, - [949] = {.lex_state = 719}, - [950] = {.lex_state = 719}, - [951] = {.lex_state = 719}, - [952] = {.lex_state = 719}, - [953] = {.lex_state = 719}, - [954] = {.lex_state = 719}, - [955] = {.lex_state = 719}, - [956] = {.lex_state = 719}, - [957] = {.lex_state = 0}, - [958] = {.lex_state = 0}, - [959] = {.lex_state = 0}, - [960] = {.lex_state = 0}, - [961] = {.lex_state = 0}, - [962] = {.lex_state = 0}, - [963] = {.lex_state = 0}, - [964] = {.lex_state = 0}, - [965] = {.lex_state = 0}, - [966] = {.lex_state = 0}, - [967] = {.lex_state = 0}, - [968] = {.lex_state = 722}, - [969] = {.lex_state = 718}, - [970] = {.lex_state = 717}, - [971] = {.lex_state = 727}, - [972] = {.lex_state = 723}, - [973] = {.lex_state = 727}, - [974] = {.lex_state = 718}, - [975] = {.lex_state = 718}, - [976] = {.lex_state = 717}, - [977] = {.lex_state = 727}, - [978] = {.lex_state = 101}, - [979] = {.lex_state = 727}, - [980] = {.lex_state = 722}, - [981] = {.lex_state = 724}, - [982] = {.lex_state = 724}, - [983] = {.lex_state = 727}, - [984] = {.lex_state = 727}, - [985] = {.lex_state = 105}, - [986] = {.lex_state = 101}, - [987] = {.lex_state = 727}, - [988] = {.lex_state = 724}, - [989] = {.lex_state = 722}, - [990] = {.lex_state = 724}, - [991] = {.lex_state = 105}, - [992] = {.lex_state = 727}, - [993] = {.lex_state = 727}, - [994] = {.lex_state = 727}, - [995] = {.lex_state = 727}, - [996] = {.lex_state = 722}, - [997] = {.lex_state = 727}, - [998] = {.lex_state = 727}, - [999] = {.lex_state = 727}, - [1000] = {.lex_state = 717}, - [1001] = {.lex_state = 722}, - [1002] = {.lex_state = 717}, - [1003] = {.lex_state = 717}, - [1004] = {.lex_state = 717}, - [1005] = {.lex_state = 717}, - [1006] = {.lex_state = 717}, - [1007] = {.lex_state = 727}, - [1008] = {.lex_state = 717}, - [1009] = {.lex_state = 727}, - [1010] = {.lex_state = 717}, - [1011] = {.lex_state = 722}, - [1012] = {.lex_state = 722}, - [1013] = {.lex_state = 717}, - [1014] = {.lex_state = 727}, - [1015] = {.lex_state = 717}, - [1016] = {.lex_state = 0}, - [1017] = {.lex_state = 719}, - [1018] = {.lex_state = 0}, - [1019] = {.lex_state = 719}, - [1020] = {.lex_state = 0}, - [1021] = {.lex_state = 0}, - [1022] = {.lex_state = 719}, - [1023] = {.lex_state = 719}, - [1024] = {.lex_state = 0}, - [1025] = {.lex_state = 0}, - [1026] = {.lex_state = 0}, - [1027] = {.lex_state = 724}, - [1028] = {.lex_state = 724}, - [1029] = {.lex_state = 718}, - [1030] = {.lex_state = 724}, - [1031] = {.lex_state = 0}, - [1032] = {.lex_state = 0}, - [1033] = {.lex_state = 0}, - [1034] = {.lex_state = 0}, - [1035] = {.lex_state = 0}, - [1036] = {.lex_state = 0}, - [1037] = {.lex_state = 0}, - [1038] = {.lex_state = 0}, - [1039] = {.lex_state = 0}, - [1040] = {.lex_state = 0}, - [1041] = {.lex_state = 0}, - [1042] = {.lex_state = 0}, - [1043] = {.lex_state = 719}, - [1044] = {.lex_state = 0}, - [1045] = {.lex_state = 0}, - [1046] = {.lex_state = 0}, - [1047] = {.lex_state = 0}, - [1048] = {.lex_state = 106}, - [1049] = {.lex_state = 0}, - [1050] = {.lex_state = 0}, - [1051] = {.lex_state = 0}, - [1052] = {.lex_state = 0}, - [1053] = {.lex_state = 0}, - [1054] = {.lex_state = 0}, - [1055] = {.lex_state = 719}, - [1056] = {.lex_state = 106}, - [1057] = {.lex_state = 0}, - [1058] = {.lex_state = 0}, - [1059] = {.lex_state = 0}, - [1060] = {.lex_state = 0}, - [1061] = {.lex_state = 0}, - [1062] = {.lex_state = 0}, - [1063] = {.lex_state = 0}, - [1064] = {.lex_state = 718}, - [1065] = {.lex_state = 718}, - [1066] = {.lex_state = 0}, - [1067] = {.lex_state = 0}, - [1068] = {.lex_state = 0}, - [1069] = {.lex_state = 719}, - [1070] = {.lex_state = 719}, - [1071] = {.lex_state = 719}, - [1072] = {.lex_state = 719}, - [1073] = {.lex_state = 719}, - [1074] = {.lex_state = 719}, - [1075] = {.lex_state = 0}, - [1076] = {.lex_state = 0}, - [1077] = {.lex_state = 724}, - [1078] = {.lex_state = 0}, - [1079] = {.lex_state = 719}, - [1080] = {.lex_state = 0}, - [1081] = {.lex_state = 0}, - [1082] = {.lex_state = 0}, - [1083] = {.lex_state = 0}, - [1084] = {.lex_state = 719}, - [1085] = {.lex_state = 719}, - [1086] = {.lex_state = 0}, - [1087] = {.lex_state = 0}, - [1088] = {.lex_state = 719}, - [1089] = {.lex_state = 0}, - [1090] = {.lex_state = 0}, - [1091] = {.lex_state = 0}, - [1092] = {.lex_state = 0}, - [1093] = {.lex_state = 0}, - [1094] = {.lex_state = 0}, - [1095] = {.lex_state = 0}, - [1096] = {.lex_state = 0}, - [1097] = {.lex_state = 0}, - [1098] = {.lex_state = 0}, - [1099] = {.lex_state = 0}, - [1100] = {.lex_state = 0}, - [1101] = {.lex_state = 0}, - [1102] = {.lex_state = 0}, - [1103] = {.lex_state = 0}, - [1104] = {.lex_state = 0}, - [1105] = {.lex_state = 0}, - [1106] = {.lex_state = 0}, - [1107] = {.lex_state = 0}, - [1108] = {.lex_state = 0}, - [1109] = {.lex_state = 0}, - [1110] = {.lex_state = 0}, - [1111] = {.lex_state = 0}, - [1112] = {.lex_state = 0}, - [1113] = {.lex_state = 0}, - [1114] = {.lex_state = 0}, - [1115] = {.lex_state = 0}, - [1116] = {.lex_state = 0}, - [1117] = {.lex_state = 0}, - [1118] = {.lex_state = 0}, - [1119] = {.lex_state = 0}, - [1120] = {.lex_state = 107}, - [1121] = {.lex_state = 0}, - [1122] = {.lex_state = 0}, - [1123] = {.lex_state = 0}, - [1124] = {.lex_state = 0}, - [1125] = {.lex_state = 0}, - [1126] = {.lex_state = 0}, - [1127] = {.lex_state = 0}, - [1128] = {.lex_state = 0}, - [1129] = {.lex_state = 0}, - [1130] = {.lex_state = 0}, - [1131] = {.lex_state = 0}, - [1132] = {.lex_state = 107}, - [1133] = {.lex_state = 0}, - [1134] = {.lex_state = 0}, - [1135] = {.lex_state = 0}, - [1136] = {.lex_state = 0}, - [1137] = {.lex_state = 0}, - [1138] = {.lex_state = 0}, - [1139] = {.lex_state = 0}, - [1140] = {.lex_state = 0}, - [1141] = {.lex_state = 0}, - [1142] = {.lex_state = 0}, - [1143] = {.lex_state = 0}, - [1144] = {.lex_state = 0}, - [1145] = {.lex_state = 0}, - [1146] = {.lex_state = 0}, - [1147] = {.lex_state = 0}, - [1148] = {.lex_state = 0}, - [1149] = {.lex_state = 0}, - [1150] = {.lex_state = 0}, - [1151] = {.lex_state = 100}, - [1152] = {.lex_state = 719}, - [1153] = {.lex_state = 100}, - [1154] = {.lex_state = 0}, - [1155] = {.lex_state = 0}, - [1156] = {.lex_state = 0}, - [1157] = {.lex_state = 0}, - [1158] = {.lex_state = 0}, - [1159] = {.lex_state = 100}, - [1160] = {.lex_state = 0}, - [1161] = {.lex_state = 100}, - [1162] = {.lex_state = 101}, - [1163] = {.lex_state = 108}, - [1164] = {.lex_state = 102}, - [1165] = {.lex_state = 108}, - [1166] = {.lex_state = 100}, - [1167] = {.lex_state = 108}, - [1168] = {.lex_state = 100}, - [1169] = {.lex_state = 101}, - [1170] = {.lex_state = 100}, - [1171] = {.lex_state = 0}, - [1172] = {.lex_state = 0}, - [1173] = {.lex_state = 0}, - [1174] = {.lex_state = 100}, - [1175] = {.lex_state = 0}, - [1176] = {.lex_state = 100}, - [1177] = {.lex_state = 100}, - [1178] = {.lex_state = 100}, - [1179] = {.lex_state = 100}, - [1180] = {.lex_state = 100}, - [1181] = {.lex_state = 100}, - [1182] = {.lex_state = 100}, - [1183] = {.lex_state = 100}, - [1184] = {.lex_state = 100}, - [1185] = {.lex_state = 100}, - [1186] = {.lex_state = 100}, - [1187] = {.lex_state = 100}, - [1188] = {.lex_state = 100}, - [1189] = {.lex_state = 0}, - [1190] = {.lex_state = 0}, - [1191] = {.lex_state = 0}, - [1192] = {.lex_state = 100}, - [1193] = {.lex_state = 100}, - [1194] = {.lex_state = 100}, - [1195] = {.lex_state = 100}, - [1196] = {.lex_state = 100}, - [1197] = {.lex_state = 718}, - [1198] = {.lex_state = 718}, - [1199] = {.lex_state = 718}, - [1200] = {.lex_state = 718}, - [1201] = {.lex_state = 718}, - [1202] = {.lex_state = 718}, - [1203] = {.lex_state = 718}, - [1204] = {.lex_state = 718}, - [1205] = {.lex_state = 718}, - [1206] = {.lex_state = 0}, - [1207] = {.lex_state = 718}, - [1208] = {.lex_state = 718}, - [1209] = {.lex_state = 109}, - [1210] = {.lex_state = 718}, - [1211] = {.lex_state = 718}, - [1212] = {.lex_state = 102}, - [1213] = {.lex_state = 110}, - [1214] = {.lex_state = 718}, - [1215] = {.lex_state = 100}, - [1216] = {.lex_state = 0}, - [1217] = {.lex_state = 0}, - [1218] = {.lex_state = 0}, - [1219] = {.lex_state = 111}, - [1220] = {.lex_state = 718}, - [1221] = {.lex_state = 0}, - [1222] = {.lex_state = 110}, - [1223] = {.lex_state = 718}, - [1224] = {.lex_state = 100}, - [1225] = {.lex_state = 100}, - [1226] = {.lex_state = 100}, - [1227] = {.lex_state = 0}, - [1228] = {.lex_state = 102}, - [1229] = {.lex_state = 100}, - [1230] = {.lex_state = 0}, - [1231] = {.lex_state = 110}, - [1232] = {.lex_state = 102}, - [1233] = {.lex_state = 100}, - [1234] = {.lex_state = 110}, - [1235] = {.lex_state = 100}, - [1236] = {.lex_state = 0}, - [1237] = {.lex_state = 0}, - [1238] = {.lex_state = 110}, - [1239] = {.lex_state = 718}, - [1240] = {.lex_state = 0}, - [1241] = {.lex_state = 0}, - [1242] = {.lex_state = 0}, - [1243] = {.lex_state = 0}, - [1244] = {.lex_state = 110}, - [1245] = {.lex_state = 0}, - [1246] = {.lex_state = 112}, - [1247] = {.lex_state = 100}, - [1248] = {.lex_state = 112}, - [1249] = {.lex_state = 100}, - [1250] = {.lex_state = 112}, - [1251] = {.lex_state = 100}, - [1252] = {.lex_state = 100}, - [1253] = {.lex_state = 100}, - [1254] = {.lex_state = 102}, - [1255] = {.lex_state = 100}, - [1256] = {.lex_state = 100}, - [1257] = {.lex_state = 100}, - [1258] = {.lex_state = 100}, - [1259] = {.lex_state = 100}, - [1260] = {.lex_state = 0}, - [1261] = {.lex_state = 112}, - [1262] = {.lex_state = 100}, - [1263] = {.lex_state = 113}, - [1264] = {.lex_state = 112}, - [1265] = {.lex_state = 100}, - [1266] = {.lex_state = 100}, - [1267] = {.lex_state = 0}, - [1268] = {.lex_state = 112}, - [1269] = {.lex_state = 100}, - [1270] = {.lex_state = 100}, - [1271] = {.lex_state = 0}, - [1272] = {.lex_state = 718}, - [1273] = {.lex_state = 100}, - [1274] = {.lex_state = 100}, - [1275] = {.lex_state = 100}, - [1276] = {.lex_state = 100}, - [1277] = {.lex_state = 100}, - [1278] = {.lex_state = 0}, - [1279] = {.lex_state = 100}, - [1280] = {.lex_state = 100}, - [1281] = {.lex_state = 100}, - [1282] = {.lex_state = 100}, - [1283] = {.lex_state = 102}, - [1284] = {.lex_state = 100}, - [1285] = {.lex_state = 100}, - [1286] = {.lex_state = 100}, - [1287] = {.lex_state = 100}, - [1288] = {.lex_state = 100}, - [1289] = {.lex_state = 100}, - [1290] = {.lex_state = 100}, - [1291] = {.lex_state = 100}, - [1292] = {.lex_state = 100}, - [1293] = {.lex_state = 100}, - [1294] = {.lex_state = 100}, - [1295] = {.lex_state = 100}, - [1296] = {.lex_state = 100}, - [1297] = {.lex_state = 102}, - [1298] = {.lex_state = 100}, - [1299] = {.lex_state = 100}, - [1300] = {.lex_state = 100}, - [1301] = {.lex_state = 100}, - [1302] = {.lex_state = 100}, - [1303] = {.lex_state = 100}, - [1304] = {.lex_state = 100}, - [1305] = {.lex_state = 100}, - [1306] = {.lex_state = 100}, - [1307] = {.lex_state = 100}, - [1308] = {.lex_state = 100}, - [1309] = {.lex_state = 100}, - [1310] = {.lex_state = 100}, - [1311] = {.lex_state = 0}, - [1312] = {.lex_state = 725}, - [1313] = {.lex_state = 100}, - [1314] = {.lex_state = 0}, - [1315] = {.lex_state = 100}, - [1316] = {.lex_state = 100}, - [1317] = {.lex_state = 100}, - [1318] = {.lex_state = 100}, - [1319] = {.lex_state = 0}, - [1320] = {.lex_state = 100}, - [1321] = {.lex_state = 100}, - [1322] = {.lex_state = 100}, - [1323] = {.lex_state = 100}, - [1324] = {.lex_state = 100}, - [1325] = {.lex_state = 100}, - [1326] = {.lex_state = 100}, - [1327] = {.lex_state = 100}, - [1328] = {.lex_state = 100}, - [1329] = {.lex_state = 100}, - [1330] = {.lex_state = 100}, - [1331] = {.lex_state = 100}, - [1332] = {.lex_state = 0}, - [1333] = {.lex_state = 100}, - [1334] = {.lex_state = 100}, - [1335] = {.lex_state = 100}, - [1336] = {.lex_state = 100}, - [1337] = {.lex_state = 100}, - [1338] = {.lex_state = 0}, - [1339] = {.lex_state = 100}, - [1340] = {.lex_state = 100}, - [1341] = {.lex_state = 100}, - [1342] = {.lex_state = 100}, - [1343] = {.lex_state = 0}, - [1344] = {.lex_state = 100}, - [1345] = {.lex_state = 100}, - [1346] = {.lex_state = 100}, - [1347] = {.lex_state = 100}, - [1348] = {.lex_state = 100}, - [1349] = {.lex_state = 0}, - [1350] = {.lex_state = 724}, - [1351] = {.lex_state = 0}, - [1352] = {.lex_state = 0}, - [1353] = {.lex_state = 100}, - [1354] = {.lex_state = 0}, - [1355] = {.lex_state = 724}, - [1356] = {.lex_state = 100}, - [1357] = {.lex_state = 718}, - [1358] = {.lex_state = 100}, - [1359] = {.lex_state = 718}, - [1360] = {.lex_state = 0}, - [1361] = {.lex_state = 718}, - [1362] = {.lex_state = 719}, - [1363] = {.lex_state = 0}, - [1364] = {.lex_state = 0}, - [1365] = {.lex_state = 0}, - [1366] = {.lex_state = 0}, - [1367] = {.lex_state = 0}, - [1368] = {.lex_state = 0}, - [1369] = {.lex_state = 0}, - [1370] = {.lex_state = 0}, - [1371] = {.lex_state = 0}, - [1372] = {.lex_state = 0}, - [1373] = {.lex_state = 0}, - [1374] = {.lex_state = 0}, - [1375] = {.lex_state = 719}, - [1376] = {.lex_state = 0}, - [1377] = {.lex_state = 0}, - [1378] = {.lex_state = 0}, - [1379] = {.lex_state = 0}, - [1380] = {.lex_state = 0}, - [1381] = {.lex_state = 0}, - [1382] = {.lex_state = 0}, - [1383] = {.lex_state = 0}, - [1384] = {.lex_state = 0}, - [1385] = {.lex_state = 0}, - [1386] = {.lex_state = 0}, - [1387] = {.lex_state = 0}, - [1388] = {.lex_state = 0}, - [1389] = {.lex_state = 0}, - [1390] = {.lex_state = 0}, - [1391] = {.lex_state = 0}, - [1392] = {.lex_state = 0}, - [1393] = {.lex_state = 0}, - [1394] = {.lex_state = 0}, - [1395] = {.lex_state = 0}, - [1396] = {.lex_state = 0}, - [1397] = {.lex_state = 0}, - [1398] = {.lex_state = 0}, - [1399] = {.lex_state = 0}, - [1400] = {.lex_state = 0}, - [1401] = {.lex_state = 0}, - [1402] = {.lex_state = 0}, - [1403] = {.lex_state = 0}, - [1404] = {.lex_state = 0}, - [1405] = {.lex_state = 0}, - [1406] = {.lex_state = 0}, - [1407] = {.lex_state = 0}, - [1408] = {.lex_state = 0}, - [1409] = {.lex_state = 0}, - [1410] = {.lex_state = 0}, - [1411] = {.lex_state = 0}, - [1412] = {.lex_state = 0}, - [1413] = {.lex_state = 0}, - [1414] = {.lex_state = 0}, - [1415] = {.lex_state = 0}, - [1416] = {.lex_state = 0}, - [1417] = {.lex_state = 0}, - [1418] = {.lex_state = 0}, - [1419] = {.lex_state = 0}, - [1420] = {.lex_state = 0}, - [1421] = {.lex_state = 0}, - [1422] = {.lex_state = 0}, - [1423] = {.lex_state = 0}, - [1424] = {.lex_state = 0}, - [1425] = {.lex_state = 0}, - [1426] = {.lex_state = 0}, - [1427] = {.lex_state = 0}, - [1428] = {.lex_state = 718}, - [1429] = {.lex_state = 0}, - [1430] = {.lex_state = 0}, - [1431] = {.lex_state = 0}, - [1432] = {.lex_state = 0}, - [1433] = {.lex_state = 0}, - [1434] = {.lex_state = 0}, - [1435] = {.lex_state = 0}, - [1436] = {.lex_state = 0}, - [1437] = {.lex_state = 0}, - [1438] = {.lex_state = 0}, - [1439] = {.lex_state = 0}, - [1440] = {.lex_state = 0}, - [1441] = {.lex_state = 0}, - [1442] = {.lex_state = 0}, - [1443] = {.lex_state = 0}, - [1444] = {.lex_state = 0}, - [1445] = {.lex_state = 0}, - [1446] = {.lex_state = 0}, - [1447] = {.lex_state = 0}, - [1448] = {.lex_state = 0}, - [1449] = {.lex_state = 0}, - [1450] = {.lex_state = 0}, - [1451] = {.lex_state = 0}, - [1452] = {.lex_state = 0}, - [1453] = {.lex_state = 0}, - [1454] = {.lex_state = 0}, - [1455] = {.lex_state = 0}, - [1456] = {.lex_state = 0}, - [1457] = {.lex_state = 0}, - [1458] = {.lex_state = 0}, - [1459] = {.lex_state = 0}, - [1460] = {.lex_state = 0}, - [1461] = {.lex_state = 0}, - [1462] = {.lex_state = 0}, - [1463] = {.lex_state = 0}, - [1464] = {.lex_state = 0}, - [1465] = {.lex_state = 0}, - [1466] = {.lex_state = 0}, - [1467] = {.lex_state = 0}, - [1468] = {.lex_state = 0}, - [1469] = {.lex_state = 0}, - [1470] = {.lex_state = 0}, - [1471] = {.lex_state = 0}, - [1472] = {.lex_state = 0}, - [1473] = {.lex_state = 0}, - [1474] = {.lex_state = 0}, - [1475] = {.lex_state = 0}, - [1476] = {.lex_state = 0}, - [1477] = {.lex_state = 0}, - [1478] = {.lex_state = 0}, - [1479] = {.lex_state = 0}, - [1480] = {.lex_state = 0}, - [1481] = {.lex_state = 0}, - [1482] = {.lex_state = 0}, - [1483] = {.lex_state = 0}, - [1484] = {.lex_state = 0}, - [1485] = {.lex_state = 0}, - [1486] = {.lex_state = 0}, - [1487] = {.lex_state = 0}, - [1488] = {.lex_state = 0}, - [1489] = {.lex_state = 0}, - [1490] = {.lex_state = 0}, - [1491] = {.lex_state = 0}, - [1492] = {.lex_state = 0}, - [1493] = {.lex_state = 0}, - [1494] = {.lex_state = 0}, - [1495] = {.lex_state = 0}, - [1496] = {.lex_state = 718}, - [1497] = {.lex_state = 0}, - [1498] = {.lex_state = 0}, - [1499] = {.lex_state = 0}, - [1500] = {.lex_state = 0}, - [1501] = {.lex_state = 0}, - [1502] = {.lex_state = 0}, - [1503] = {.lex_state = 0}, - [1504] = {.lex_state = 0}, - [1505] = {.lex_state = 0}, - [1506] = {.lex_state = 0}, - [1507] = {.lex_state = 0}, - [1508] = {.lex_state = 0}, - [1509] = {.lex_state = 0}, - [1510] = {.lex_state = 0}, - [1511] = {.lex_state = 0}, - [1512] = {.lex_state = 0}, - [1513] = {.lex_state = 0}, - [1514] = {.lex_state = 0}, - [1515] = {.lex_state = 0}, - [1516] = {.lex_state = 0}, - [1517] = {.lex_state = 0}, - [1518] = {.lex_state = 0}, - [1519] = {.lex_state = 718}, - [1520] = {.lex_state = 0}, - [1521] = {.lex_state = 0}, - [1522] = {.lex_state = 0}, - [1523] = {.lex_state = 0}, - [1524] = {.lex_state = 0}, - [1525] = {.lex_state = 0}, - [1526] = {.lex_state = 0}, - [1527] = {.lex_state = 0}, - [1528] = {.lex_state = 0}, - [1529] = {.lex_state = 0}, - [1530] = {.lex_state = 0}, - [1531] = {.lex_state = 0}, - [1532] = {.lex_state = 0}, - [1533] = {.lex_state = 0}, - [1534] = {.lex_state = 0}, - [1535] = {.lex_state = 0}, - [1536] = {.lex_state = 0}, - [1537] = {.lex_state = 0}, - [1538] = {.lex_state = 0}, - [1539] = {.lex_state = 0}, - [1540] = {.lex_state = 0}, - [1541] = {.lex_state = 0}, - [1542] = {.lex_state = 0}, - [1543] = {.lex_state = 0}, - [1544] = {.lex_state = 0}, - [1545] = {.lex_state = 0}, - [1546] = {.lex_state = 0}, - [1547] = {.lex_state = 0}, - [1548] = {.lex_state = 0}, - [1549] = {.lex_state = 0}, - [1550] = {.lex_state = 0}, - [1551] = {.lex_state = 0}, - [1552] = {.lex_state = 0}, - [1553] = {.lex_state = 0}, - [1554] = {.lex_state = 0}, - [1555] = {.lex_state = 0}, - [1556] = {.lex_state = 0}, - [1557] = {.lex_state = 0}, - [1558] = {.lex_state = 0}, - [1559] = {.lex_state = 0}, - [1560] = {.lex_state = 0}, - [1561] = {.lex_state = 0}, - [1562] = {.lex_state = 0}, - [1563] = {.lex_state = 0}, - [1564] = {.lex_state = 0}, - [1565] = {.lex_state = 0}, - [1566] = {.lex_state = 0}, - [1567] = {.lex_state = 0}, - [1568] = {.lex_state = 0}, - [1569] = {.lex_state = 0}, - [1570] = {.lex_state = 0}, - [1571] = {.lex_state = 0}, - [1572] = {.lex_state = 0}, - [1573] = {.lex_state = 0}, - [1574] = {.lex_state = 0}, - [1575] = {.lex_state = 0}, - [1576] = {.lex_state = 0}, - [1577] = {.lex_state = 0}, - [1578] = {.lex_state = 0}, - [1579] = {.lex_state = 0}, - [1580] = {.lex_state = 0}, - [1581] = {.lex_state = 0}, - [1582] = {.lex_state = 0}, - [1583] = {.lex_state = 0}, - [1584] = {.lex_state = 0}, - [1585] = {.lex_state = 0}, - [1586] = {.lex_state = 0}, - [1587] = {.lex_state = 0}, - [1588] = {.lex_state = 0}, - [1589] = {.lex_state = 0}, - [1590] = {.lex_state = 0}, - [1591] = {.lex_state = 0}, - [1592] = {.lex_state = 0}, - [1593] = {.lex_state = 0}, - [1594] = {.lex_state = 0}, - [1595] = {.lex_state = 0}, - [1596] = {.lex_state = 0}, - [1597] = {.lex_state = 0}, - [1598] = {.lex_state = 0}, - [1599] = {.lex_state = 0}, - [1600] = {.lex_state = 0}, - [1601] = {.lex_state = 0}, - [1602] = {.lex_state = 0}, - [1603] = {.lex_state = 0}, - [1604] = {.lex_state = 0}, - [1605] = {.lex_state = 0}, - [1606] = {.lex_state = 0}, - [1607] = {.lex_state = 0}, - [1608] = {.lex_state = 0}, - [1609] = {.lex_state = 0}, - [1610] = {.lex_state = 0}, - [1611] = {.lex_state = 0}, - [1612] = {.lex_state = 0}, - [1613] = {.lex_state = 0}, - [1614] = {.lex_state = 0}, - [1615] = {.lex_state = 0}, - [1616] = {.lex_state = 0}, - [1617] = {.lex_state = 0}, - [1618] = {.lex_state = 0}, - [1619] = {.lex_state = 0}, - [1620] = {.lex_state = 0}, - [1621] = {.lex_state = 0}, - [1622] = {.lex_state = 0}, - [1623] = {.lex_state = 0}, - [1624] = {.lex_state = 0}, - [1625] = {.lex_state = 0}, - [1626] = {.lex_state = 0}, - [1627] = {.lex_state = 0}, - [1628] = {.lex_state = 0}, - [1629] = {.lex_state = 0}, - [1630] = {.lex_state = 0}, - [1631] = {.lex_state = 0}, - [1632] = {.lex_state = 0}, - [1633] = {.lex_state = 0}, - [1634] = {.lex_state = 0}, - [1635] = {.lex_state = 0}, - [1636] = {.lex_state = 0}, - [1637] = {.lex_state = 0}, - [1638] = {.lex_state = 0}, - [1639] = {.lex_state = 0}, - [1640] = {.lex_state = 0}, - [1641] = {.lex_state = 0}, - [1642] = {.lex_state = 0}, - [1643] = {.lex_state = 0}, - [1644] = {.lex_state = 0}, - [1645] = {.lex_state = 0}, - [1646] = {.lex_state = 0}, - [1647] = {.lex_state = 0}, - [1648] = {.lex_state = 724}, - [1649] = {.lex_state = 0}, - [1650] = {.lex_state = 0}, - [1651] = {.lex_state = 0}, - [1652] = {.lex_state = 0}, - [1653] = {.lex_state = 0}, - [1654] = {.lex_state = 0}, - [1655] = {.lex_state = 0}, - [1656] = {.lex_state = 0}, - [1657] = {.lex_state = 0}, - [1658] = {.lex_state = 0}, - [1659] = {.lex_state = 724}, - [1660] = {.lex_state = 0}, - [1661] = {.lex_state = 0}, - [1662] = {.lex_state = 0}, - [1663] = {.lex_state = 0}, - [1664] = {.lex_state = 0}, - [1665] = {.lex_state = 0}, - [1666] = {.lex_state = 0}, - [1667] = {.lex_state = 0}, - [1668] = {.lex_state = 0}, - [1669] = {.lex_state = 0}, - [1670] = {.lex_state = 0}, - [1671] = {.lex_state = 0}, - [1672] = {.lex_state = 0}, - [1673] = {.lex_state = 0}, - [1674] = {.lex_state = 0}, - [1675] = {.lex_state = 0}, - [1676] = {.lex_state = 0}, - [1677] = {.lex_state = 0}, - [1678] = {.lex_state = 0}, - [1679] = {.lex_state = 0}, - [1680] = {.lex_state = 0}, - [1681] = {.lex_state = 0}, - [1682] = {.lex_state = 0}, - [1683] = {.lex_state = 0}, - [1684] = {.lex_state = 0}, - [1685] = {.lex_state = 0}, - [1686] = {.lex_state = 0}, - [1687] = {.lex_state = 0}, - [1688] = {.lex_state = 0}, - [1689] = {.lex_state = 0}, - [1690] = {.lex_state = 0}, - [1691] = {.lex_state = 0}, - [1692] = {.lex_state = 0}, - [1693] = {.lex_state = 0}, - [1694] = {.lex_state = 0}, - [1695] = {.lex_state = 0}, - [1696] = {.lex_state = 0}, - [1697] = {.lex_state = 0}, - [1698] = {.lex_state = 1155}, - [1699] = {.lex_state = 1165}, - [1700] = {.lex_state = 0}, - [1701] = {.lex_state = 1177}, - [1702] = {.lex_state = 116}, - [1703] = {.lex_state = 0}, - [1704] = {.lex_state = 0}, - [1705] = {.lex_state = 0}, - [1706] = {.lex_state = 0}, - [1707] = {.lex_state = 0}, - [1708] = {.lex_state = 0}, - [1709] = {.lex_state = 94}, - [1710] = {.lex_state = 0}, - [1711] = {.lex_state = 0}, - [1712] = {.lex_state = 0}, - [1713] = {.lex_state = 0}, - [1714] = {.lex_state = 0}, - [1715] = {.lex_state = 0}, - [1716] = {.lex_state = 0}, - [1717] = {.lex_state = 0}, - [1718] = {.lex_state = 0}, - [1719] = {.lex_state = 0}, - [1720] = {.lex_state = 0}, - [1721] = {.lex_state = 0}, - [1722] = {.lex_state = 0}, - [1723] = {.lex_state = 0}, - [1724] = {.lex_state = 94}, - [1725] = {.lex_state = 0}, - [1726] = {.lex_state = 0}, - [1727] = {.lex_state = 1155}, - [1728] = {.lex_state = 1165}, - [1729] = {.lex_state = 0}, - [1730] = {.lex_state = 1177}, - [1731] = {.lex_state = 116}, - [1732] = {.lex_state = 0}, - [1733] = {.lex_state = 94}, - [1734] = {.lex_state = 0}, - [1735] = {.lex_state = 0}, - [1736] = {.lex_state = 1155}, - [1737] = {.lex_state = 1165}, - [1738] = {.lex_state = 0}, - [1739] = {.lex_state = 1177}, - [1740] = {.lex_state = 116}, - [1741] = {.lex_state = 0}, - [1742] = {.lex_state = 94}, - [1743] = {.lex_state = 0}, - [1744] = {.lex_state = 0}, - [1745] = {.lex_state = 1155}, - [1746] = {.lex_state = 1165}, - [1747] = {.lex_state = 0}, - [1748] = {.lex_state = 1177}, - [1749] = {.lex_state = 116}, - [1750] = {.lex_state = 0}, - [1751] = {.lex_state = 94}, - [1752] = {.lex_state = 0}, - [1753] = {.lex_state = 0}, - [1754] = {.lex_state = 1155}, - [1755] = {.lex_state = 1165}, - [1756] = {.lex_state = 0}, - [1757] = {.lex_state = 1177}, - [1758] = {.lex_state = 116}, - [1759] = {.lex_state = 0}, - [1760] = {.lex_state = 94}, - [1761] = {.lex_state = 719}, - [1762] = {.lex_state = 0}, - [1763] = {.lex_state = 1155}, - [1764] = {.lex_state = 1165}, - [1765] = {.lex_state = 0}, - [1766] = {.lex_state = 1177}, - [1767] = {.lex_state = 116}, - [1768] = {.lex_state = 718}, - [1769] = {.lex_state = 94}, - [1770] = {.lex_state = 0}, - [1771] = {.lex_state = 0}, - [1772] = {.lex_state = 1155}, - [1773] = {.lex_state = 1165}, - [1774] = {.lex_state = 779}, - [1775] = {.lex_state = 1177}, - [1776] = {.lex_state = 116}, - [1777] = {.lex_state = 0}, - [1778] = {.lex_state = 94}, - [1779] = {.lex_state = 0}, - [1780] = {.lex_state = 0}, - [1781] = {.lex_state = 1155}, - [1782] = {.lex_state = 1165}, - [1783] = {.lex_state = 0}, - [1784] = {.lex_state = 1177}, - [1785] = {.lex_state = 116}, - [1786] = {.lex_state = 0}, - [1787] = {.lex_state = 94}, - [1788] = {.lex_state = 0}, - [1789] = {.lex_state = 0}, - [1790] = {.lex_state = 1155}, - [1791] = {.lex_state = 1165}, - [1792] = {.lex_state = 0}, - [1793] = {.lex_state = 1177}, - [1794] = {.lex_state = 116}, - [1795] = {.lex_state = 0}, - [1796] = {.lex_state = 94}, - [1797] = {.lex_state = 0}, - [1798] = {.lex_state = 0}, - [1799] = {.lex_state = 1155}, - [1800] = {.lex_state = 1165}, - [1801] = {.lex_state = 718}, - [1802] = {.lex_state = 1177}, - [1803] = {.lex_state = 116}, - [1804] = {.lex_state = 718}, - [1805] = {.lex_state = 94}, - [1806] = {.lex_state = 718}, - [1807] = {.lex_state = 0}, - [1808] = {.lex_state = 1155}, - [1809] = {.lex_state = 1165}, - [1810] = {.lex_state = 0}, - [1811] = {.lex_state = 1177}, - [1812] = {.lex_state = 116}, - [1813] = {.lex_state = 0}, - [1814] = {.lex_state = 94}, - [1815] = {.lex_state = 0}, - [1816] = {.lex_state = 0}, - [1817] = {.lex_state = 1155}, - [1818] = {.lex_state = 1165}, - [1819] = {.lex_state = 116}, - [1820] = {.lex_state = 1177}, - [1821] = {.lex_state = 116}, - [1822] = {.lex_state = 1177}, - [1823] = {.lex_state = 94}, - [1824] = {.lex_state = 1165}, - [1825] = {.lex_state = 1155}, - [1826] = {.lex_state = 1155}, - [1827] = {.lex_state = 1165}, - [1828] = {.lex_state = 724}, - [1829] = {.lex_state = 1155}, - [1830] = {.lex_state = 1165}, - [1831] = {.lex_state = 1155}, - [1832] = {.lex_state = 1165}, - [1833] = {.lex_state = 1155}, - [1834] = {.lex_state = 1165}, - [1835] = {.lex_state = 1155}, - [1836] = {.lex_state = 1165}, - [1837] = {.lex_state = 0}, - [1838] = {.lex_state = 0}, - [1839] = {.lex_state = 724}, - [1840] = {.lex_state = 0}, + [1] = {.lex_state = 853}, + [2] = {.lex_state = 860}, + [3] = {.lex_state = 853}, + [4] = {.lex_state = 853}, + [5] = {.lex_state = 853}, + [6] = {.lex_state = 856}, + [7] = {.lex_state = 853}, + [8] = {.lex_state = 848}, + [9] = {.lex_state = 856}, + [10] = {.lex_state = 848}, + [11] = {.lex_state = 848}, + [12] = {.lex_state = 849}, + [13] = {.lex_state = 849}, + [14] = {.lex_state = 845}, + [15] = {.lex_state = 848}, + [16] = {.lex_state = 848}, + [17] = {.lex_state = 849}, + [18] = {.lex_state = 854}, + [19] = {.lex_state = 854}, + [20] = {.lex_state = 854}, + [21] = {.lex_state = 856}, + [22] = {.lex_state = 848}, + [23] = {.lex_state = 851}, + [24] = {.lex_state = 851}, + [25] = {.lex_state = 845}, + [26] = {.lex_state = 854}, + [27] = {.lex_state = 856}, + [28] = {.lex_state = 856}, + [29] = {.lex_state = 848}, + [30] = {.lex_state = 849}, + [31] = {.lex_state = 845}, + [32] = {.lex_state = 854}, + [33] = {.lex_state = 845}, + [34] = {.lex_state = 849}, + [35] = {.lex_state = 854}, + [36] = {.lex_state = 849}, + [37] = {.lex_state = 851}, + [38] = {.lex_state = 846}, + [39] = {.lex_state = 856}, + [40] = {.lex_state = 851}, + [41] = {.lex_state = 854}, + [42] = {.lex_state = 856}, + [43] = {.lex_state = 849}, + [44] = {.lex_state = 845}, + [45] = {.lex_state = 846}, + [46] = {.lex_state = 848}, + [47] = {.lex_state = 856}, + [48] = {.lex_state = 846}, + [49] = {.lex_state = 845}, + [50] = {.lex_state = 848}, + [51] = {.lex_state = 855}, + [52] = {.lex_state = 855}, + [53] = {.lex_state = 855}, + [54] = {.lex_state = 855}, + [55] = {.lex_state = 851}, + [56] = {.lex_state = 849}, + [57] = {.lex_state = 855}, + [58] = {.lex_state = 848}, + [59] = {.lex_state = 855}, + [60] = {.lex_state = 855}, + [61] = {.lex_state = 855}, + [62] = {.lex_state = 851}, + [63] = {.lex_state = 855}, + [64] = {.lex_state = 855}, + [65] = {.lex_state = 854}, + [66] = {.lex_state = 855}, + [67] = {.lex_state = 855}, + [68] = {.lex_state = 855}, + [69] = {.lex_state = 846}, + [70] = {.lex_state = 846}, + [71] = {.lex_state = 846}, + [72] = {.lex_state = 845}, + [73] = {.lex_state = 854}, + [74] = {.lex_state = 849}, + [75] = {.lex_state = 848}, + [76] = {.lex_state = 845}, + [77] = {.lex_state = 848}, + [78] = {.lex_state = 845}, + [79] = {.lex_state = 859}, + [80] = {.lex_state = 845}, + [81] = {.lex_state = 845}, + [82] = {.lex_state = 849}, + [83] = {.lex_state = 854}, + [84] = {.lex_state = 859}, + [85] = {.lex_state = 848}, + [86] = {.lex_state = 845}, + [87] = {.lex_state = 855}, + [88] = {.lex_state = 849}, + [89] = {.lex_state = 845}, + [90] = {.lex_state = 851}, + [91] = {.lex_state = 845}, + [92] = {.lex_state = 846}, + [93] = {.lex_state = 846}, + [94] = {.lex_state = 845}, + [95] = {.lex_state = 859}, + [96] = {.lex_state = 845}, + [97] = {.lex_state = 845}, + [98] = {.lex_state = 845}, + [99] = {.lex_state = 845}, + [100] = {.lex_state = 845}, + [101] = {.lex_state = 845}, + [102] = {.lex_state = 845}, + [103] = {.lex_state = 845}, + [104] = {.lex_state = 845}, + [105] = {.lex_state = 845}, + [106] = {.lex_state = 845}, + [107] = {.lex_state = 862}, + [108] = {.lex_state = 845}, + [109] = {.lex_state = 854}, + [110] = {.lex_state = 845}, + [111] = {.lex_state = 849}, + [112] = {.lex_state = 845}, + [113] = {.lex_state = 862}, + [114] = {.lex_state = 849}, + [115] = {.lex_state = 845}, + [116] = {.lex_state = 845}, + [117] = {.lex_state = 846}, + [118] = {.lex_state = 857}, + [119] = {.lex_state = 857}, + [120] = {.lex_state = 845}, + [121] = {.lex_state = 857}, + [122] = {.lex_state = 859}, + [123] = {.lex_state = 846}, + [124] = {.lex_state = 851}, + [125] = {.lex_state = 854}, + [126] = {.lex_state = 846}, + [127] = {.lex_state = 146}, + [128] = {.lex_state = 854}, + [129] = {.lex_state = 851}, + [130] = {.lex_state = 845}, + [131] = {.lex_state = 845}, + [132] = {.lex_state = 859}, + [133] = {.lex_state = 851}, + [134] = {.lex_state = 845}, + [135] = {.lex_state = 846}, + [136] = {.lex_state = 846}, + [137] = {.lex_state = 845}, + [138] = {.lex_state = 845}, + [139] = {.lex_state = 846}, + [140] = {.lex_state = 859}, + [141] = {.lex_state = 846}, + [142] = {.lex_state = 845}, + [143] = {.lex_state = 851}, + [144] = {.lex_state = 851}, + [145] = {.lex_state = 845}, + [146] = {.lex_state = 851}, + [147] = {.lex_state = 851}, + [148] = {.lex_state = 857}, + [149] = {.lex_state = 851}, + [150] = {.lex_state = 845}, + [151] = {.lex_state = 845}, + [152] = {.lex_state = 846}, + [153] = {.lex_state = 857}, + [154] = {.lex_state = 851}, + [155] = {.lex_state = 851}, + [156] = {.lex_state = 851}, + [157] = {.lex_state = 851}, + [158] = {.lex_state = 845}, + [159] = {.lex_state = 845}, + [160] = {.lex_state = 851}, + [161] = {.lex_state = 851}, + [162] = {.lex_state = 846}, + [163] = {.lex_state = 846}, + [164] = {.lex_state = 851}, + [165] = {.lex_state = 851}, + [166] = {.lex_state = 846}, + [167] = {.lex_state = 853}, + [168] = {.lex_state = 851}, + [169] = {.lex_state = 845}, + [170] = {.lex_state = 845}, + [171] = {.lex_state = 845}, + [172] = {.lex_state = 845}, + [173] = {.lex_state = 859}, + [174] = {.lex_state = 851}, + [175] = {.lex_state = 846}, + [176] = {.lex_state = 845}, + [177] = {.lex_state = 845}, + [178] = {.lex_state = 845}, + [179] = {.lex_state = 851}, + [180] = {.lex_state = 846}, + [181] = {.lex_state = 846}, + [182] = {.lex_state = 846}, + [183] = {.lex_state = 845}, + [184] = {.lex_state = 845}, + [185] = {.lex_state = 846}, + [186] = {.lex_state = 846}, + [187] = {.lex_state = 845}, + [188] = {.lex_state = 846}, + [189] = {.lex_state = 846}, + [190] = {.lex_state = 851}, + [191] = {.lex_state = 846}, + [192] = {.lex_state = 851}, + [193] = {.lex_state = 846}, + [194] = {.lex_state = 846}, + [195] = {.lex_state = 851}, + [196] = {.lex_state = 846}, + [197] = {.lex_state = 851}, + [198] = {.lex_state = 851}, + [199] = {.lex_state = 846}, + [200] = {.lex_state = 845}, + [201] = {.lex_state = 845}, + [202] = {.lex_state = 845}, + [203] = {.lex_state = 845}, + [204] = {.lex_state = 845}, + [205] = {.lex_state = 859}, + [206] = {.lex_state = 845}, + [207] = {.lex_state = 851}, + [208] = {.lex_state = 857}, + [209] = {.lex_state = 845}, + [210] = {.lex_state = 845}, + [211] = {.lex_state = 845}, + [212] = {.lex_state = 859}, + [213] = {.lex_state = 846}, + [214] = {.lex_state = 845}, + [215] = {.lex_state = 846}, + [216] = {.lex_state = 851}, + [217] = {.lex_state = 846}, + [218] = {.lex_state = 846}, + [219] = {.lex_state = 846}, + [220] = {.lex_state = 846}, + [221] = {.lex_state = 846}, + [222] = {.lex_state = 846}, + [223] = {.lex_state = 846}, + [224] = {.lex_state = 853}, + [225] = {.lex_state = 853}, + [226] = {.lex_state = 857}, + [227] = {.lex_state = 846}, + [228] = {.lex_state = 851}, + [229] = {.lex_state = 851}, + [230] = {.lex_state = 851}, + [231] = {.lex_state = 851}, + [232] = {.lex_state = 851}, + [233] = {.lex_state = 846}, + [234] = {.lex_state = 846}, + [235] = {.lex_state = 846}, + [236] = {.lex_state = 851}, + [237] = {.lex_state = 851}, + [238] = {.lex_state = 851}, + [239] = {.lex_state = 846}, + [240] = {.lex_state = 851}, + [241] = {.lex_state = 851}, + [242] = {.lex_state = 136}, + [243] = {.lex_state = 851}, + [244] = {.lex_state = 851}, + [245] = {.lex_state = 851}, + [246] = {.lex_state = 851}, + [247] = {.lex_state = 851}, + [248] = {.lex_state = 851}, + [249] = {.lex_state = 851}, + [250] = {.lex_state = 846}, + [251] = {.lex_state = 136}, + [252] = {.lex_state = 846}, + [253] = {.lex_state = 851}, + [254] = {.lex_state = 851}, + [255] = {.lex_state = 851}, + [256] = {.lex_state = 851}, + [257] = {.lex_state = 851}, + [258] = {.lex_state = 846}, + [259] = {.lex_state = 846}, + [260] = {.lex_state = 846}, + [261] = {.lex_state = 851}, + [262] = {.lex_state = 846}, + [263] = {.lex_state = 846}, + [264] = {.lex_state = 846}, + [265] = {.lex_state = 846}, + [266] = {.lex_state = 846}, + [267] = {.lex_state = 846}, + [268] = {.lex_state = 846}, + [269] = {.lex_state = 846}, + [270] = {.lex_state = 846}, + [271] = {.lex_state = 846}, + [272] = {.lex_state = 846}, + [273] = {.lex_state = 846}, + [274] = {.lex_state = 851}, + [275] = {.lex_state = 851}, + [276] = {.lex_state = 851}, + [277] = {.lex_state = 851}, + [278] = {.lex_state = 851}, + [279] = {.lex_state = 851}, + [280] = {.lex_state = 853}, + [281] = {.lex_state = 850}, + [282] = {.lex_state = 850}, + [283] = {.lex_state = 850}, + [284] = {.lex_state = 136}, + [285] = {.lex_state = 857}, + [286] = {.lex_state = 853}, + [287] = {.lex_state = 136}, + [288] = {.lex_state = 136}, + [289] = {.lex_state = 853}, + [290] = {.lex_state = 850}, + [291] = {.lex_state = 850}, + [292] = {.lex_state = 847}, + [293] = {.lex_state = 857}, + [294] = {.lex_state = 857}, + [295] = {.lex_state = 136}, + [296] = {.lex_state = 850}, + [297] = {.lex_state = 850}, + [298] = {.lex_state = 857}, + [299] = {.lex_state = 847}, + [300] = {.lex_state = 856}, + [301] = {.lex_state = 847}, + [302] = {.lex_state = 856}, + [303] = {.lex_state = 857}, + [304] = {.lex_state = 853}, + [305] = {.lex_state = 853}, + [306] = {.lex_state = 856}, + [307] = {.lex_state = 857}, + [308] = {.lex_state = 853}, + [309] = {.lex_state = 847}, + [310] = {.lex_state = 851}, + [311] = {.lex_state = 853}, + [312] = {.lex_state = 856}, + [313] = {.lex_state = 856}, + [314] = {.lex_state = 853}, + [315] = {.lex_state = 853}, + [316] = {.lex_state = 853}, + [317] = {.lex_state = 853}, + [318] = {.lex_state = 855}, + [319] = {.lex_state = 853}, + [320] = {.lex_state = 853}, + [321] = {.lex_state = 853}, + [322] = {.lex_state = 136}, + [323] = {.lex_state = 853}, + [324] = {.lex_state = 853}, + [325] = {.lex_state = 853}, + [326] = {.lex_state = 847}, + [327] = {.lex_state = 853}, + [328] = {.lex_state = 853}, + [329] = {.lex_state = 853}, + [330] = {.lex_state = 853}, + [331] = {.lex_state = 853}, + [332] = {.lex_state = 853}, + [333] = {.lex_state = 136}, + [334] = {.lex_state = 850}, + [335] = {.lex_state = 853}, + [336] = {.lex_state = 853}, + [337] = {.lex_state = 853}, + [338] = {.lex_state = 853}, + [339] = {.lex_state = 853}, + [340] = {.lex_state = 853}, + [341] = {.lex_state = 853}, + [342] = {.lex_state = 853}, + [343] = {.lex_state = 858}, + [344] = {.lex_state = 853}, + [345] = {.lex_state = 853}, + [346] = {.lex_state = 855}, + [347] = {.lex_state = 850}, + [348] = {.lex_state = 853}, + [349] = {.lex_state = 847}, + [350] = {.lex_state = 853}, + [351] = {.lex_state = 853}, + [352] = {.lex_state = 853}, + [353] = {.lex_state = 853}, + [354] = {.lex_state = 853}, + [355] = {.lex_state = 853}, + [356] = {.lex_state = 853}, + [357] = {.lex_state = 853}, + [358] = {.lex_state = 853}, + [359] = {.lex_state = 858}, + [360] = {.lex_state = 853}, + [361] = {.lex_state = 853}, + [362] = {.lex_state = 853}, + [363] = {.lex_state = 853}, + [364] = {.lex_state = 853}, + [365] = {.lex_state = 853}, + [366] = {.lex_state = 850}, + [367] = {.lex_state = 853}, + [368] = {.lex_state = 853}, + [369] = {.lex_state = 853}, + [370] = {.lex_state = 853}, + [371] = {.lex_state = 858}, + [372] = {.lex_state = 853}, + [373] = {.lex_state = 855}, + [374] = {.lex_state = 853}, + [375] = {.lex_state = 853}, + [376] = {.lex_state = 136}, + [377] = {.lex_state = 853}, + [378] = {.lex_state = 149}, + [379] = {.lex_state = 149}, + [380] = {.lex_state = 149}, + [381] = {.lex_state = 149}, + [382] = {.lex_state = 149}, + [383] = {.lex_state = 149}, + [384] = {.lex_state = 149}, + [385] = {.lex_state = 149}, + [386] = {.lex_state = 847}, + [387] = {.lex_state = 847}, + [388] = {.lex_state = 858}, + [389] = {.lex_state = 136}, + [390] = {.lex_state = 850}, + [391] = {.lex_state = 149}, + [392] = {.lex_state = 858}, + [393] = {.lex_state = 858}, + [394] = {.lex_state = 149}, + [395] = {.lex_state = 122}, + [396] = {.lex_state = 847}, + [397] = {.lex_state = 122}, + [398] = {.lex_state = 122}, + [399] = {.lex_state = 149}, + [400] = {.lex_state = 149}, + [401] = {.lex_state = 149}, + [402] = {.lex_state = 136}, + [403] = {.lex_state = 847}, + [404] = {.lex_state = 149}, + [405] = {.lex_state = 855}, + [406] = {.lex_state = 850}, + [407] = {.lex_state = 149}, + [408] = {.lex_state = 850}, + [409] = {.lex_state = 855}, + [410] = {.lex_state = 847}, + [411] = {.lex_state = 149}, + [412] = {.lex_state = 852}, + [413] = {.lex_state = 847}, + [414] = {.lex_state = 847}, + [415] = {.lex_state = 847}, + [416] = {.lex_state = 847}, + [417] = {.lex_state = 136}, + [418] = {.lex_state = 847}, + [419] = {.lex_state = 847}, + [420] = {.lex_state = 136}, + [421] = {.lex_state = 847}, + [422] = {.lex_state = 847}, + [423] = {.lex_state = 123}, + [424] = {.lex_state = 852}, + [425] = {.lex_state = 122}, + [426] = {.lex_state = 847}, + [427] = {.lex_state = 847}, + [428] = {.lex_state = 847}, + [429] = {.lex_state = 847}, + [430] = {.lex_state = 847}, + [431] = {.lex_state = 858}, + [432] = {.lex_state = 847}, + [433] = {.lex_state = 847}, + [434] = {.lex_state = 847}, + [435] = {.lex_state = 847}, + [436] = {.lex_state = 852}, + [437] = {.lex_state = 847}, + [438] = {.lex_state = 123}, + [439] = {.lex_state = 847}, + [440] = {.lex_state = 855}, + [441] = {.lex_state = 122}, + [442] = {.lex_state = 122}, + [443] = {.lex_state = 136}, + [444] = {.lex_state = 123}, + [445] = {.lex_state = 847}, + [446] = {.lex_state = 847}, + [447] = {.lex_state = 847}, + [448] = {.lex_state = 847}, + [449] = {.lex_state = 852}, + [450] = {.lex_state = 847}, + [451] = {.lex_state = 855}, + [452] = {.lex_state = 847}, + [453] = {.lex_state = 847}, + [454] = {.lex_state = 123}, + [455] = {.lex_state = 122}, + [456] = {.lex_state = 847}, + [457] = {.lex_state = 136}, + [458] = {.lex_state = 847}, + [459] = {.lex_state = 847}, + [460] = {.lex_state = 847}, + [461] = {.lex_state = 136}, + [462] = {.lex_state = 847}, + [463] = {.lex_state = 847}, + [464] = {.lex_state = 847}, + [465] = {.lex_state = 123}, + [466] = {.lex_state = 136}, + [467] = {.lex_state = 847}, + [468] = {.lex_state = 852}, + [469] = {.lex_state = 847}, + [470] = {.lex_state = 847}, + [471] = {.lex_state = 136}, + [472] = {.lex_state = 847}, + [473] = {.lex_state = 118}, + [474] = {.lex_state = 847}, + [475] = {.lex_state = 847}, + [476] = {.lex_state = 847}, + [477] = {.lex_state = 847}, + [478] = {.lex_state = 847}, + [479] = {.lex_state = 847}, + [480] = {.lex_state = 847}, + [481] = {.lex_state = 123}, + [482] = {.lex_state = 858}, + [483] = {.lex_state = 847}, + [484] = {.lex_state = 847}, + [485] = {.lex_state = 847}, + [486] = {.lex_state = 847}, + [487] = {.lex_state = 847}, + [488] = {.lex_state = 847}, + [489] = {.lex_state = 847}, + [490] = {.lex_state = 847}, + [491] = {.lex_state = 136}, + [492] = {.lex_state = 136}, + [493] = {.lex_state = 122}, + [494] = {.lex_state = 136}, + [495] = {.lex_state = 136}, + [496] = {.lex_state = 136}, + [497] = {.lex_state = 136}, + [498] = {.lex_state = 855}, + [499] = {.lex_state = 136}, + [500] = {.lex_state = 851}, + [501] = {.lex_state = 123}, + [502] = {.lex_state = 136}, + [503] = {.lex_state = 136}, + [504] = {.lex_state = 118}, + [505] = {.lex_state = 852}, + [506] = {.lex_state = 136}, + [507] = {.lex_state = 858}, + [508] = {.lex_state = 136}, + [509] = {.lex_state = 855}, + [510] = {.lex_state = 136}, + [511] = {.lex_state = 136}, + [512] = {.lex_state = 136}, + [513] = {.lex_state = 136}, + [514] = {.lex_state = 119}, + [515] = {.lex_state = 855}, + [516] = {.lex_state = 136}, + [517] = {.lex_state = 858}, + [518] = {.lex_state = 855}, + [519] = {.lex_state = 118}, + [520] = {.lex_state = 136}, + [521] = {.lex_state = 136}, + [522] = {.lex_state = 136}, + [523] = {.lex_state = 136}, + [524] = {.lex_state = 136}, + [525] = {.lex_state = 136}, + [526] = {.lex_state = 136}, + [527] = {.lex_state = 136}, + [528] = {.lex_state = 855}, + [529] = {.lex_state = 136}, + [530] = {.lex_state = 136}, + [531] = {.lex_state = 147}, + [532] = {.lex_state = 147}, + [533] = {.lex_state = 147}, + [534] = {.lex_state = 147}, + [535] = {.lex_state = 147}, + [536] = {.lex_state = 136}, + [537] = {.lex_state = 136}, + [538] = {.lex_state = 136}, + [539] = {.lex_state = 136}, + [540] = {.lex_state = 855}, + [541] = {.lex_state = 136}, + [542] = {.lex_state = 855}, + [543] = {.lex_state = 147}, + [544] = {.lex_state = 136}, + [545] = {.lex_state = 855}, + [546] = {.lex_state = 851}, + [547] = {.lex_state = 136}, + [548] = {.lex_state = 147}, + [549] = {.lex_state = 119}, + [550] = {.lex_state = 855}, + [551] = {.lex_state = 147}, + [552] = {.lex_state = 119}, + [553] = {.lex_state = 147}, + [554] = {.lex_state = 122}, + [555] = {.lex_state = 136}, + [556] = {.lex_state = 855}, + [557] = {.lex_state = 136}, + [558] = {.lex_state = 855}, + [559] = {.lex_state = 147}, + [560] = {.lex_state = 147}, + [561] = {.lex_state = 147}, + [562] = {.lex_state = 136}, + [563] = {.lex_state = 136}, + [564] = {.lex_state = 855}, + [565] = {.lex_state = 855}, + [566] = {.lex_state = 136}, + [567] = {.lex_state = 136}, + [568] = {.lex_state = 852}, + [569] = {.lex_state = 855}, + [570] = {.lex_state = 136}, + [571] = {.lex_state = 855}, + [572] = {.lex_state = 855}, + [573] = {.lex_state = 136}, + [574] = {.lex_state = 136}, + [575] = {.lex_state = 136}, + [576] = {.lex_state = 852}, + [577] = {.lex_state = 136}, + [578] = {.lex_state = 136}, + [579] = {.lex_state = 122}, + [580] = {.lex_state = 136}, + [581] = {.lex_state = 136}, + [582] = {.lex_state = 136}, + [583] = {.lex_state = 858}, + [584] = {.lex_state = 855}, + [585] = {.lex_state = 147}, + [586] = {.lex_state = 855}, + [587] = {.lex_state = 118}, + [588] = {.lex_state = 855}, + [589] = {.lex_state = 855}, + [590] = {.lex_state = 855}, + [591] = {.lex_state = 855}, + [592] = {.lex_state = 147}, + [593] = {.lex_state = 136}, + [594] = {.lex_state = 855}, + [595] = {.lex_state = 855}, + [596] = {.lex_state = 855}, + [597] = {.lex_state = 858}, + [598] = {.lex_state = 855}, + [599] = {.lex_state = 855}, + [600] = {.lex_state = 855}, + [601] = {.lex_state = 855}, + [602] = {.lex_state = 855}, + [603] = {.lex_state = 855}, + [604] = {.lex_state = 855}, + [605] = {.lex_state = 136}, + [606] = {.lex_state = 136}, + [607] = {.lex_state = 852}, + [608] = {.lex_state = 852}, + [609] = {.lex_state = 123}, + [610] = {.lex_state = 118}, + [611] = {.lex_state = 140}, + [612] = {.lex_state = 858}, + [613] = {.lex_state = 136}, + [614] = {.lex_state = 140}, + [615] = {.lex_state = 855}, + [616] = {.lex_state = 852}, + [617] = {.lex_state = 852}, + [618] = {.lex_state = 855}, + [619] = {.lex_state = 147}, + [620] = {.lex_state = 140}, + [621] = {.lex_state = 123}, + [622] = {.lex_state = 147}, + [623] = {.lex_state = 852}, + [624] = {.lex_state = 852}, + [625] = {.lex_state = 123}, + [626] = {.lex_state = 852}, + [627] = {.lex_state = 852}, + [628] = {.lex_state = 852}, + [629] = {.lex_state = 140}, + [630] = {.lex_state = 852}, + [631] = {.lex_state = 147}, + [632] = {.lex_state = 852}, + [633] = {.lex_state = 852}, + [634] = {.lex_state = 852}, + [635] = {.lex_state = 852}, + [636] = {.lex_state = 140}, + [637] = {.lex_state = 122}, + [638] = {.lex_state = 852}, + [639] = {.lex_state = 119}, + [640] = {.lex_state = 852}, + [641] = {.lex_state = 122}, + [642] = {.lex_state = 147}, + [643] = {.lex_state = 147}, + [644] = {.lex_state = 852}, + [645] = {.lex_state = 150}, + [646] = {.lex_state = 852}, + [647] = {.lex_state = 147}, + [648] = {.lex_state = 147}, + [649] = {.lex_state = 122}, + [650] = {.lex_state = 852}, + [651] = {.lex_state = 147}, + [652] = {.lex_state = 118}, + [653] = {.lex_state = 852}, + [654] = {.lex_state = 147}, + [655] = {.lex_state = 852}, + [656] = {.lex_state = 855}, + [657] = {.lex_state = 147}, + [658] = {.lex_state = 147}, + [659] = {.lex_state = 147}, + [660] = {.lex_state = 147}, + [661] = {.lex_state = 852}, + [662] = {.lex_state = 147}, + [663] = {.lex_state = 147}, + [664] = {.lex_state = 852}, + [665] = {.lex_state = 147}, + [666] = {.lex_state = 147}, + [667] = {.lex_state = 147}, + [668] = {.lex_state = 147}, + [669] = {.lex_state = 851}, + [670] = {.lex_state = 150}, + [671] = {.lex_state = 147}, + [672] = {.lex_state = 852}, + [673] = {.lex_state = 147}, + [674] = {.lex_state = 147}, + [675] = {.lex_state = 119}, + [676] = {.lex_state = 147}, + [677] = {.lex_state = 147}, + [678] = {.lex_state = 852}, + [679] = {.lex_state = 147}, + [680] = {.lex_state = 147}, + [681] = {.lex_state = 147}, + [682] = {.lex_state = 147}, + [683] = {.lex_state = 147}, + [684] = {.lex_state = 123}, + [685] = {.lex_state = 147}, + [686] = {.lex_state = 147}, + [687] = {.lex_state = 123}, + [688] = {.lex_state = 147}, + [689] = {.lex_state = 147}, + [690] = {.lex_state = 147}, + [691] = {.lex_state = 123}, + [692] = {.lex_state = 852}, + [693] = {.lex_state = 852}, + [694] = {.lex_state = 852}, + [695] = {.lex_state = 147}, + [696] = {.lex_state = 852}, + [697] = {.lex_state = 852}, + [698] = {.lex_state = 852}, + [699] = {.lex_state = 852}, + [700] = {.lex_state = 852}, + [701] = {.lex_state = 852}, + [702] = {.lex_state = 147}, + [703] = {.lex_state = 147}, + [704] = {.lex_state = 147}, + [705] = {.lex_state = 147}, + [706] = {.lex_state = 147}, + [707] = {.lex_state = 147}, + [708] = {.lex_state = 147}, + [709] = {.lex_state = 147}, + [710] = {.lex_state = 852}, + [711] = {.lex_state = 852}, + [712] = {.lex_state = 118}, + [713] = {.lex_state = 147}, + [714] = {.lex_state = 852}, + [715] = {.lex_state = 147}, + [716] = {.lex_state = 852}, + [717] = {.lex_state = 852}, + [718] = {.lex_state = 852}, + [719] = {.lex_state = 852}, + [720] = {.lex_state = 852}, + [721] = {.lex_state = 852}, + [722] = {.lex_state = 852}, + [723] = {.lex_state = 852}, + [724] = {.lex_state = 852}, + [725] = {.lex_state = 147}, + [726] = {.lex_state = 119}, + [727] = {.lex_state = 147}, + [728] = {.lex_state = 852}, + [729] = {.lex_state = 852}, + [730] = {.lex_state = 852}, + [731] = {.lex_state = 147}, + [732] = {.lex_state = 147}, + [733] = {.lex_state = 852}, + [734] = {.lex_state = 147}, + [735] = {.lex_state = 147}, + [736] = {.lex_state = 147}, + [737] = {.lex_state = 147}, + [738] = {.lex_state = 147}, + [739] = {.lex_state = 147}, + [740] = {.lex_state = 852}, + [741] = {.lex_state = 852}, + [742] = {.lex_state = 852}, + [743] = {.lex_state = 147}, + [744] = {.lex_state = 852}, + [745] = {.lex_state = 147}, + [746] = {.lex_state = 147}, + [747] = {.lex_state = 147}, + [748] = {.lex_state = 147}, + [749] = {.lex_state = 147}, + [750] = {.lex_state = 147}, + [751] = {.lex_state = 147}, + [752] = {.lex_state = 147}, + [753] = {.lex_state = 147}, + [754] = {.lex_state = 147}, + [755] = {.lex_state = 147}, + [756] = {.lex_state = 147}, + [757] = {.lex_state = 147}, + [758] = {.lex_state = 147}, + [759] = {.lex_state = 147}, + [760] = {.lex_state = 147}, + [761] = {.lex_state = 147}, + [762] = {.lex_state = 147}, + [763] = {.lex_state = 147}, + [764] = {.lex_state = 147}, + [765] = {.lex_state = 147}, + [766] = {.lex_state = 147}, + [767] = {.lex_state = 147}, + [768] = {.lex_state = 147}, + [769] = {.lex_state = 147}, + [770] = {.lex_state = 147}, + [771] = {.lex_state = 147}, + [772] = {.lex_state = 147}, + [773] = {.lex_state = 147}, + [774] = {.lex_state = 147}, + [775] = {.lex_state = 147}, + [776] = {.lex_state = 147}, + [777] = {.lex_state = 147}, + [778] = {.lex_state = 147}, + [779] = {.lex_state = 147}, + [780] = {.lex_state = 147}, + [781] = {.lex_state = 147}, + [782] = {.lex_state = 147}, + [783] = {.lex_state = 147}, + [784] = {.lex_state = 147}, + [785] = {.lex_state = 147}, + [786] = {.lex_state = 147}, + [787] = {.lex_state = 147}, + [788] = {.lex_state = 147}, + [789] = {.lex_state = 147}, + [790] = {.lex_state = 147}, + [791] = {.lex_state = 147}, + [792] = {.lex_state = 147}, + [793] = {.lex_state = 147}, + [794] = {.lex_state = 147}, + [795] = {.lex_state = 147}, + [796] = {.lex_state = 147}, + [797] = {.lex_state = 147}, + [798] = {.lex_state = 147}, + [799] = {.lex_state = 147}, + [800] = {.lex_state = 147}, + [801] = {.lex_state = 147}, + [802] = {.lex_state = 147}, + [803] = {.lex_state = 147}, + [804] = {.lex_state = 147}, + [805] = {.lex_state = 147}, + [806] = {.lex_state = 147}, + [807] = {.lex_state = 118}, + [808] = {.lex_state = 147}, + [809] = {.lex_state = 147}, + [810] = {.lex_state = 147}, + [811] = {.lex_state = 147}, + [812] = {.lex_state = 147}, + [813] = {.lex_state = 118}, + [814] = {.lex_state = 147}, + [815] = {.lex_state = 147}, + [816] = {.lex_state = 147}, + [817] = {.lex_state = 147}, + [818] = {.lex_state = 147}, + [819] = {.lex_state = 147}, + [820] = {.lex_state = 147}, + [821] = {.lex_state = 147}, + [822] = {.lex_state = 147}, + [823] = {.lex_state = 147}, + [824] = {.lex_state = 147}, + [825] = {.lex_state = 147}, + [826] = {.lex_state = 147}, + [827] = {.lex_state = 147}, + [828] = {.lex_state = 147}, + [829] = {.lex_state = 147}, + [830] = {.lex_state = 147}, + [831] = {.lex_state = 147}, + [832] = {.lex_state = 147}, + [833] = {.lex_state = 147}, + [834] = {.lex_state = 147}, + [835] = {.lex_state = 147}, + [836] = {.lex_state = 147}, + [837] = {.lex_state = 147}, + [838] = {.lex_state = 147}, + [839] = {.lex_state = 147}, + [840] = {.lex_state = 147}, + [841] = {.lex_state = 147}, + [842] = {.lex_state = 147}, + [843] = {.lex_state = 147}, + [844] = {.lex_state = 147}, + [845] = {.lex_state = 147}, + [846] = {.lex_state = 147}, + [847] = {.lex_state = 147}, + [848] = {.lex_state = 852}, + [849] = {.lex_state = 147}, + [850] = {.lex_state = 147}, + [851] = {.lex_state = 147}, + [852] = {.lex_state = 147}, + [853] = {.lex_state = 147}, + [854] = {.lex_state = 147}, + [855] = {.lex_state = 147}, + [856] = {.lex_state = 147}, + [857] = {.lex_state = 147}, + [858] = {.lex_state = 147}, + [859] = {.lex_state = 147}, + [860] = {.lex_state = 147}, + [861] = {.lex_state = 147}, + [862] = {.lex_state = 118}, + [863] = {.lex_state = 147}, + [864] = {.lex_state = 147}, + [865] = {.lex_state = 147}, + [866] = {.lex_state = 147}, + [867] = {.lex_state = 147}, + [868] = {.lex_state = 140}, + [869] = {.lex_state = 147}, + [870] = {.lex_state = 147}, + [871] = {.lex_state = 147}, + [872] = {.lex_state = 147}, + [873] = {.lex_state = 147}, + [874] = {.lex_state = 147}, + [875] = {.lex_state = 147}, + [876] = {.lex_state = 118}, + [877] = {.lex_state = 147}, + [878] = {.lex_state = 147}, + [879] = {.lex_state = 147}, + [880] = {.lex_state = 147}, + [881] = {.lex_state = 147}, + [882] = {.lex_state = 147}, + [883] = {.lex_state = 147}, + [884] = {.lex_state = 147}, + [885] = {.lex_state = 147}, + [886] = {.lex_state = 147}, + [887] = {.lex_state = 147}, + [888] = {.lex_state = 147}, + [889] = {.lex_state = 147}, + [890] = {.lex_state = 147}, + [891] = {.lex_state = 147}, + [892] = {.lex_state = 147}, + [893] = {.lex_state = 147}, + [894] = {.lex_state = 147}, + [895] = {.lex_state = 147}, + [896] = {.lex_state = 147}, + [897] = {.lex_state = 147}, + [898] = {.lex_state = 147}, + [899] = {.lex_state = 147}, + [900] = {.lex_state = 147}, + [901] = {.lex_state = 147}, + [902] = {.lex_state = 147}, + [903] = {.lex_state = 147}, + [904] = {.lex_state = 147}, + [905] = {.lex_state = 147}, + [906] = {.lex_state = 147}, + [907] = {.lex_state = 147}, + [908] = {.lex_state = 147}, + [909] = {.lex_state = 147}, + [910] = {.lex_state = 147}, + [911] = {.lex_state = 147}, + [912] = {.lex_state = 147}, + [913] = {.lex_state = 147}, + [914] = {.lex_state = 147}, + [915] = {.lex_state = 147}, + [916] = {.lex_state = 147}, + [917] = {.lex_state = 147}, + [918] = {.lex_state = 147}, + [919] = {.lex_state = 147}, + [920] = {.lex_state = 147}, + [921] = {.lex_state = 147}, + [922] = {.lex_state = 147}, + [923] = {.lex_state = 147}, + [924] = {.lex_state = 147}, + [925] = {.lex_state = 147}, + [926] = {.lex_state = 147}, + [927] = {.lex_state = 147}, + [928] = {.lex_state = 147}, + [929] = {.lex_state = 147}, + [930] = {.lex_state = 147}, + [931] = {.lex_state = 147}, + [932] = {.lex_state = 147}, + [933] = {.lex_state = 147}, + [934] = {.lex_state = 147}, + [935] = {.lex_state = 147}, + [936] = {.lex_state = 147}, + [937] = {.lex_state = 147}, + [938] = {.lex_state = 147}, + [939] = {.lex_state = 147}, + [940] = {.lex_state = 147}, + [941] = {.lex_state = 147}, + [942] = {.lex_state = 147}, + [943] = {.lex_state = 147}, + [944] = {.lex_state = 147}, + [945] = {.lex_state = 147}, + [946] = {.lex_state = 147}, + [947] = {.lex_state = 147}, + [948] = {.lex_state = 147}, + [949] = {.lex_state = 147}, + [950] = {.lex_state = 147}, + [951] = {.lex_state = 147}, + [952] = {.lex_state = 147}, + [953] = {.lex_state = 147}, + [954] = {.lex_state = 119}, + [955] = {.lex_state = 118}, + [956] = {.lex_state = 118}, + [957] = {.lex_state = 118}, + [958] = {.lex_state = 118}, + [959] = {.lex_state = 118}, + [960] = {.lex_state = 118}, + [961] = {.lex_state = 118}, + [962] = {.lex_state = 118}, + [963] = {.lex_state = 119}, + [964] = {.lex_state = 119}, + [965] = {.lex_state = 118}, + [966] = {.lex_state = 118}, + [967] = {.lex_state = 118}, + [968] = {.lex_state = 118}, + [969] = {.lex_state = 118}, + [970] = {.lex_state = 118}, + [971] = {.lex_state = 118}, + [972] = {.lex_state = 118}, + [973] = {.lex_state = 118}, + [974] = {.lex_state = 118}, + [975] = {.lex_state = 118}, + [976] = {.lex_state = 118}, + [977] = {.lex_state = 118}, + [978] = {.lex_state = 119}, + [979] = {.lex_state = 119}, + [980] = {.lex_state = 118}, + [981] = {.lex_state = 119}, + [982] = {.lex_state = 119}, + [983] = {.lex_state = 851}, + [984] = {.lex_state = 119}, + [985] = {.lex_state = 851}, + [986] = {.lex_state = 119}, + [987] = {.lex_state = 118}, + [988] = {.lex_state = 851}, + [989] = {.lex_state = 119}, + [990] = {.lex_state = 140}, + [991] = {.lex_state = 140}, + [992] = {.lex_state = 119}, + [993] = {.lex_state = 119}, + [994] = {.lex_state = 118}, + [995] = {.lex_state = 118}, + [996] = {.lex_state = 119}, + [997] = {.lex_state = 118}, + [998] = {.lex_state = 118}, + [999] = {.lex_state = 119}, + [1000] = {.lex_state = 118}, + [1001] = {.lex_state = 119}, + [1002] = {.lex_state = 851}, + [1003] = {.lex_state = 119}, + [1004] = {.lex_state = 118}, + [1005] = {.lex_state = 118}, + [1006] = {.lex_state = 118}, + [1007] = {.lex_state = 118}, + [1008] = {.lex_state = 119}, + [1009] = {.lex_state = 119}, + [1010] = {.lex_state = 118}, + [1011] = {.lex_state = 118}, + [1012] = {.lex_state = 119}, + [1013] = {.lex_state = 118}, + [1014] = {.lex_state = 118}, + [1015] = {.lex_state = 118}, + [1016] = {.lex_state = 118}, + [1017] = {.lex_state = 118}, + [1018] = {.lex_state = 118}, + [1019] = {.lex_state = 118}, + [1020] = {.lex_state = 118}, + [1021] = {.lex_state = 118}, + [1022] = {.lex_state = 118}, + [1023] = {.lex_state = 118}, + [1024] = {.lex_state = 118}, + [1025] = {.lex_state = 118}, + [1026] = {.lex_state = 118}, + [1027] = {.lex_state = 118}, + [1028] = {.lex_state = 118}, + [1029] = {.lex_state = 118}, + [1030] = {.lex_state = 118}, + [1031] = {.lex_state = 119}, + [1032] = {.lex_state = 119}, + [1033] = {.lex_state = 119}, + [1034] = {.lex_state = 119}, + [1035] = {.lex_state = 119}, + [1036] = {.lex_state = 119}, + [1037] = {.lex_state = 119}, + [1038] = {.lex_state = 119}, + [1039] = {.lex_state = 140}, + [1040] = {.lex_state = 119}, + [1041] = {.lex_state = 119}, + [1042] = {.lex_state = 119}, + [1043] = {.lex_state = 119}, + [1044] = {.lex_state = 119}, + [1045] = {.lex_state = 119}, + [1046] = {.lex_state = 124}, + [1047] = {.lex_state = 119}, + [1048] = {.lex_state = 119}, + [1049] = {.lex_state = 119}, + [1050] = {.lex_state = 124}, + [1051] = {.lex_state = 119}, + [1052] = {.lex_state = 119}, + [1053] = {.lex_state = 119}, + [1054] = {.lex_state = 125}, + [1055] = {.lex_state = 119}, + [1056] = {.lex_state = 119}, + [1057] = {.lex_state = 119}, + [1058] = {.lex_state = 125}, + [1059] = {.lex_state = 119}, + [1060] = {.lex_state = 119}, + [1061] = {.lex_state = 119}, + [1062] = {.lex_state = 119}, + [1063] = {.lex_state = 119}, + [1064] = {.lex_state = 124}, + [1065] = {.lex_state = 119}, + [1066] = {.lex_state = 119}, + [1067] = {.lex_state = 119}, + [1068] = {.lex_state = 119}, + [1069] = {.lex_state = 119}, + [1070] = {.lex_state = 125}, + [1071] = {.lex_state = 119}, + [1072] = {.lex_state = 119}, + [1073] = {.lex_state = 137}, + [1074] = {.lex_state = 119}, + [1075] = {.lex_state = 119}, + [1076] = {.lex_state = 119}, + [1077] = {.lex_state = 137}, + [1078] = {.lex_state = 124}, + [1079] = {.lex_state = 140}, + [1080] = {.lex_state = 125}, + [1081] = {.lex_state = 138}, + [1082] = {.lex_state = 140}, + [1083] = {.lex_state = 138}, + [1084] = {.lex_state = 125}, + [1085] = {.lex_state = 125}, + [1086] = {.lex_state = 137}, + [1087] = {.lex_state = 124}, + [1088] = {.lex_state = 137}, + [1089] = {.lex_state = 137}, + [1090] = {.lex_state = 124}, + [1091] = {.lex_state = 120}, + [1092] = {.lex_state = 138}, + [1093] = {.lex_state = 132}, + [1094] = {.lex_state = 138}, + [1095] = {.lex_state = 125}, + [1096] = {.lex_state = 124}, + [1097] = {.lex_state = 140}, + [1098] = {.lex_state = 121}, + [1099] = {.lex_state = 132}, + [1100] = {.lex_state = 140}, + [1101] = {.lex_state = 132}, + [1102] = {.lex_state = 120}, + [1103] = {.lex_state = 140}, + [1104] = {.lex_state = 137}, + [1105] = {.lex_state = 138}, + [1106] = {.lex_state = 120}, + [1107] = {.lex_state = 138}, + [1108] = {.lex_state = 140}, + [1109] = {.lex_state = 853}, + [1110] = {.lex_state = 120}, + [1111] = {.lex_state = 136}, + [1112] = {.lex_state = 121}, + [1113] = {.lex_state = 132}, + [1114] = {.lex_state = 120}, + [1115] = {.lex_state = 121}, + [1116] = {.lex_state = 132}, + [1117] = {.lex_state = 140}, + [1118] = {.lex_state = 140}, + [1119] = {.lex_state = 140}, + [1120] = {.lex_state = 132}, + [1121] = {.lex_state = 853}, + [1122] = {.lex_state = 125}, + [1123] = {.lex_state = 124}, + [1124] = {.lex_state = 121}, + [1125] = {.lex_state = 140}, + [1126] = {.lex_state = 140}, + [1127] = {.lex_state = 124}, + [1128] = {.lex_state = 140}, + [1129] = {.lex_state = 140}, + [1130] = {.lex_state = 863}, + [1131] = {.lex_state = 131}, + [1132] = {.lex_state = 140}, + [1133] = {.lex_state = 140}, + [1134] = {.lex_state = 137}, + [1135] = {.lex_state = 140}, + [1136] = {.lex_state = 140}, + [1137] = {.lex_state = 864}, + [1138] = {.lex_state = 864}, + [1139] = {.lex_state = 140}, + [1140] = {.lex_state = 132}, + [1141] = {.lex_state = 140}, + [1142] = {.lex_state = 121}, + [1143] = {.lex_state = 140}, + [1144] = {.lex_state = 140}, + [1145] = {.lex_state = 137}, + [1146] = {.lex_state = 140}, + [1147] = {.lex_state = 140}, + [1148] = {.lex_state = 140}, + [1149] = {.lex_state = 124}, + [1150] = {.lex_state = 140}, + [1151] = {.lex_state = 140}, + [1152] = {.lex_state = 120}, + [1153] = {.lex_state = 125}, + [1154] = {.lex_state = 140}, + [1155] = {.lex_state = 140}, + [1156] = {.lex_state = 125}, + [1157] = {.lex_state = 140}, + [1158] = {.lex_state = 120}, + [1159] = {.lex_state = 140}, + [1160] = {.lex_state = 140}, + [1161] = {.lex_state = 120}, + [1162] = {.lex_state = 140}, + [1163] = {.lex_state = 140}, + [1164] = {.lex_state = 140}, + [1165] = {.lex_state = 140}, + [1166] = {.lex_state = 121}, + [1167] = {.lex_state = 138}, + [1168] = {.lex_state = 140}, + [1169] = {.lex_state = 140}, + [1170] = {.lex_state = 140}, + [1171] = {.lex_state = 125}, + [1172] = {.lex_state = 140}, + [1173] = {.lex_state = 132}, + [1174] = {.lex_state = 140}, + [1175] = {.lex_state = 125}, + [1176] = {.lex_state = 124}, + [1177] = {.lex_state = 140}, + [1178] = {.lex_state = 140}, + [1179] = {.lex_state = 125}, + [1180] = {.lex_state = 124}, + [1181] = {.lex_state = 140}, + [1182] = {.lex_state = 140}, + [1183] = {.lex_state = 140}, + [1184] = {.lex_state = 131}, + [1185] = {.lex_state = 862}, + [1186] = {.lex_state = 138}, + [1187] = {.lex_state = 140}, + [1188] = {.lex_state = 140}, + [1189] = {.lex_state = 140}, + [1190] = {.lex_state = 140}, + [1191] = {.lex_state = 140}, + [1192] = {.lex_state = 140}, + [1193] = {.lex_state = 124}, + [1194] = {.lex_state = 140}, + [1195] = {.lex_state = 862}, + [1196] = {.lex_state = 140}, + [1197] = {.lex_state = 140}, + [1198] = {.lex_state = 140}, + [1199] = {.lex_state = 140}, + [1200] = {.lex_state = 140}, + [1201] = {.lex_state = 140}, + [1202] = {.lex_state = 140}, + [1203] = {.lex_state = 137}, + [1204] = {.lex_state = 140}, + [1205] = {.lex_state = 140}, + [1206] = {.lex_state = 140}, + [1207] = {.lex_state = 140}, + [1208] = {.lex_state = 862}, + [1209] = {.lex_state = 131}, + [1210] = {.lex_state = 851}, + [1211] = {.lex_state = 121}, + [1212] = {.lex_state = 862}, + [1213] = {.lex_state = 137}, + [1214] = {.lex_state = 131}, + [1215] = {.lex_state = 137}, + [1216] = {.lex_state = 121}, + [1217] = {.lex_state = 863}, + [1218] = {.lex_state = 121}, + [1219] = {.lex_state = 131}, + [1220] = {.lex_state = 120}, + [1221] = {.lex_state = 120}, + [1222] = {.lex_state = 121}, + [1223] = {.lex_state = 132}, + [1224] = {.lex_state = 120}, + [1225] = {.lex_state = 138}, + [1226] = {.lex_state = 120}, + [1227] = {.lex_state = 132}, + [1228] = {.lex_state = 121}, + [1229] = {.lex_state = 120}, + [1230] = {.lex_state = 120}, + [1231] = {.lex_state = 863}, + [1232] = {.lex_state = 137}, + [1233] = {.lex_state = 121}, + [1234] = {.lex_state = 121}, + [1235] = {.lex_state = 121}, + [1236] = {.lex_state = 121}, + [1237] = {.lex_state = 120}, + [1238] = {.lex_state = 121}, + [1239] = {.lex_state = 120}, + [1240] = {.lex_state = 121}, + [1241] = {.lex_state = 120}, + [1242] = {.lex_state = 121}, + [1243] = {.lex_state = 120}, + [1244] = {.lex_state = 120}, + [1245] = {.lex_state = 121}, + [1246] = {.lex_state = 120}, + [1247] = {.lex_state = 120}, + [1248] = {.lex_state = 121}, + [1249] = {.lex_state = 137}, + [1250] = {.lex_state = 120}, + [1251] = {.lex_state = 120}, + [1252] = {.lex_state = 120}, + [1253] = {.lex_state = 120}, + [1254] = {.lex_state = 120}, + [1255] = {.lex_state = 121}, + [1256] = {.lex_state = 137}, + [1257] = {.lex_state = 121}, + [1258] = {.lex_state = 121}, + [1259] = {.lex_state = 120}, + [1260] = {.lex_state = 138}, + [1261] = {.lex_state = 120}, + [1262] = {.lex_state = 121}, + [1263] = {.lex_state = 138}, + [1264] = {.lex_state = 121}, + [1265] = {.lex_state = 120}, + [1266] = {.lex_state = 132}, + [1267] = {.lex_state = 121}, + [1268] = {.lex_state = 121}, + [1269] = {.lex_state = 131}, + [1270] = {.lex_state = 121}, + [1271] = {.lex_state = 132}, + [1272] = {.lex_state = 121}, + [1273] = {.lex_state = 121}, + [1274] = {.lex_state = 132}, + [1275] = {.lex_state = 121}, + [1276] = {.lex_state = 121}, + [1277] = {.lex_state = 121}, + [1278] = {.lex_state = 120}, + [1279] = {.lex_state = 120}, + [1280] = {.lex_state = 120}, + [1281] = {.lex_state = 120}, + [1282] = {.lex_state = 120}, + [1283] = {.lex_state = 120}, + [1284] = {.lex_state = 121}, + [1285] = {.lex_state = 120}, + [1286] = {.lex_state = 120}, + [1287] = {.lex_state = 120}, + [1288] = {.lex_state = 120}, + [1289] = {.lex_state = 131}, + [1290] = {.lex_state = 120}, + [1291] = {.lex_state = 131}, + [1292] = {.lex_state = 120}, + [1293] = {.lex_state = 120}, + [1294] = {.lex_state = 120}, + [1295] = {.lex_state = 120}, + [1296] = {.lex_state = 120}, + [1297] = {.lex_state = 120}, + [1298] = {.lex_state = 120}, + [1299] = {.lex_state = 120}, + [1300] = {.lex_state = 120}, + [1301] = {.lex_state = 121}, + [1302] = {.lex_state = 121}, + [1303] = {.lex_state = 121}, + [1304] = {.lex_state = 137}, + [1305] = {.lex_state = 121}, + [1306] = {.lex_state = 121}, + [1307] = {.lex_state = 121}, + [1308] = {.lex_state = 121}, + [1309] = {.lex_state = 131}, + [1310] = {.lex_state = 120}, + [1311] = {.lex_state = 121}, + [1312] = {.lex_state = 121}, + [1313] = {.lex_state = 121}, + [1314] = {.lex_state = 121}, + [1315] = {.lex_state = 121}, + [1316] = {.lex_state = 121}, + [1317] = {.lex_state = 121}, + [1318] = {.lex_state = 131}, + [1319] = {.lex_state = 121}, + [1320] = {.lex_state = 120}, + [1321] = {.lex_state = 121}, + [1322] = {.lex_state = 120}, + [1323] = {.lex_state = 121}, + [1324] = {.lex_state = 121}, + [1325] = {.lex_state = 120}, + [1326] = {.lex_state = 121}, + [1327] = {.lex_state = 121}, + [1328] = {.lex_state = 131}, + [1329] = {.lex_state = 121}, + [1330] = {.lex_state = 121}, + [1331] = {.lex_state = 121}, + [1332] = {.lex_state = 120}, + [1333] = {.lex_state = 120}, + [1334] = {.lex_state = 121}, + [1335] = {.lex_state = 121}, + [1336] = {.lex_state = 121}, + [1337] = {.lex_state = 121}, + [1338] = {.lex_state = 121}, + [1339] = {.lex_state = 138}, + [1340] = {.lex_state = 138}, + [1341] = {.lex_state = 120}, + [1342] = {.lex_state = 138}, + [1343] = {.lex_state = 120}, + [1344] = {.lex_state = 120}, + [1345] = {.lex_state = 120}, + [1346] = {.lex_state = 120}, + [1347] = {.lex_state = 137}, + [1348] = {.lex_state = 137}, + [1349] = {.lex_state = 121}, + [1350] = {.lex_state = 120}, + [1351] = {.lex_state = 120}, + [1352] = {.lex_state = 120}, + [1353] = {.lex_state = 120}, + [1354] = {.lex_state = 137}, + [1355] = {.lex_state = 851}, + [1356] = {.lex_state = 851}, + [1357] = {.lex_state = 863}, + [1358] = {.lex_state = 863}, + [1359] = {.lex_state = 863}, + [1360] = {.lex_state = 137}, + [1361] = {.lex_state = 137}, + [1362] = {.lex_state = 137}, + [1363] = {.lex_state = 138}, + [1364] = {.lex_state = 137}, + [1365] = {.lex_state = 137}, + [1366] = {.lex_state = 137}, + [1367] = {.lex_state = 137}, + [1368] = {.lex_state = 131}, + [1369] = {.lex_state = 131}, + [1370] = {.lex_state = 137}, + [1371] = {.lex_state = 136}, + [1372] = {.lex_state = 137}, + [1373] = {.lex_state = 131}, + [1374] = {.lex_state = 131}, + [1375] = {.lex_state = 137}, + [1376] = {.lex_state = 131}, + [1377] = {.lex_state = 863}, + [1378] = {.lex_state = 863}, + [1379] = {.lex_state = 137}, + [1380] = {.lex_state = 137}, + [1381] = {.lex_state = 131}, + [1382] = {.lex_state = 137}, + [1383] = {.lex_state = 131}, + [1384] = {.lex_state = 137}, + [1385] = {.lex_state = 131}, + [1386] = {.lex_state = 131}, + [1387] = {.lex_state = 131}, + [1388] = {.lex_state = 131}, + [1389] = {.lex_state = 131}, + [1390] = {.lex_state = 137}, + [1391] = {.lex_state = 137}, + [1392] = {.lex_state = 137}, + [1393] = {.lex_state = 137}, + [1394] = {.lex_state = 137}, + [1395] = {.lex_state = 138}, + [1396] = {.lex_state = 856}, + [1397] = {.lex_state = 137}, + [1398] = {.lex_state = 138}, + [1399] = {.lex_state = 138}, + [1400] = {.lex_state = 131}, + [1401] = {.lex_state = 131}, + [1402] = {.lex_state = 131}, + [1403] = {.lex_state = 131}, + [1404] = {.lex_state = 856}, + [1405] = {.lex_state = 131}, + [1406] = {.lex_state = 131}, + [1407] = {.lex_state = 131}, + [1408] = {.lex_state = 131}, + [1409] = {.lex_state = 856}, + [1410] = {.lex_state = 137}, + [1411] = {.lex_state = 131}, + [1412] = {.lex_state = 137}, + [1413] = {.lex_state = 131}, + [1414] = {.lex_state = 131}, + [1415] = {.lex_state = 138}, + [1416] = {.lex_state = 131}, + [1417] = {.lex_state = 131}, + [1418] = {.lex_state = 131}, + [1419] = {.lex_state = 137}, + [1420] = {.lex_state = 137}, + [1421] = {.lex_state = 137}, + [1422] = {.lex_state = 131}, + [1423] = {.lex_state = 137}, + [1424] = {.lex_state = 131}, + [1425] = {.lex_state = 131}, + [1426] = {.lex_state = 131}, + [1427] = {.lex_state = 137}, + [1428] = {.lex_state = 137}, + [1429] = {.lex_state = 137}, + [1430] = {.lex_state = 131}, + [1431] = {.lex_state = 131}, + [1432] = {.lex_state = 131}, + [1433] = {.lex_state = 137}, + [1434] = {.lex_state = 131}, + [1435] = {.lex_state = 131}, + [1436] = {.lex_state = 131}, + [1437] = {.lex_state = 131}, + [1438] = {.lex_state = 138}, + [1439] = {.lex_state = 131}, + [1440] = {.lex_state = 137}, + [1441] = {.lex_state = 137}, + [1442] = {.lex_state = 137}, + [1443] = {.lex_state = 131}, + [1444] = {.lex_state = 137}, + [1445] = {.lex_state = 138}, + [1446] = {.lex_state = 131}, + [1447] = {.lex_state = 852}, + [1448] = {.lex_state = 137}, + [1449] = {.lex_state = 138}, + [1450] = {.lex_state = 131}, + [1451] = {.lex_state = 137}, + [1452] = {.lex_state = 131}, + [1453] = {.lex_state = 131}, + [1454] = {.lex_state = 131}, + [1455] = {.lex_state = 137}, + [1456] = {.lex_state = 138}, + [1457] = {.lex_state = 131}, + [1458] = {.lex_state = 131}, + [1459] = {.lex_state = 131}, + [1460] = {.lex_state = 131}, + [1461] = {.lex_state = 131}, + [1462] = {.lex_state = 131}, + [1463] = {.lex_state = 137}, + [1464] = {.lex_state = 137}, + [1465] = {.lex_state = 137}, + [1466] = {.lex_state = 138}, + [1467] = {.lex_state = 131}, + [1468] = {.lex_state = 136}, + [1469] = {.lex_state = 138}, + [1470] = {.lex_state = 138}, + [1471] = {.lex_state = 138}, + [1472] = {.lex_state = 138}, + [1473] = {.lex_state = 138}, + [1474] = {.lex_state = 138}, + [1475] = {.lex_state = 137}, + [1476] = {.lex_state = 138}, + [1477] = {.lex_state = 138}, + [1478] = {.lex_state = 138}, + [1479] = {.lex_state = 138}, + [1480] = {.lex_state = 138}, + [1481] = {.lex_state = 138}, + [1482] = {.lex_state = 138}, + [1483] = {.lex_state = 137}, + [1484] = {.lex_state = 137}, + [1485] = {.lex_state = 138}, + [1486] = {.lex_state = 138}, + [1487] = {.lex_state = 137}, + [1488] = {.lex_state = 865}, + [1489] = {.lex_state = 865}, + [1490] = {.lex_state = 865}, + [1491] = {.lex_state = 865}, + [1492] = {.lex_state = 865}, + [1493] = {.lex_state = 865}, + [1494] = {.lex_state = 865}, + [1495] = {.lex_state = 137}, + [1496] = {.lex_state = 865}, + [1497] = {.lex_state = 865}, + [1498] = {.lex_state = 865}, + [1499] = {.lex_state = 865}, + [1500] = {.lex_state = 137}, + [1501] = {.lex_state = 865}, + [1502] = {.lex_state = 137}, + [1503] = {.lex_state = 137}, + [1504] = {.lex_state = 137}, + [1505] = {.lex_state = 137}, + [1506] = {.lex_state = 137}, + [1507] = {.lex_state = 137}, + [1508] = {.lex_state = 137}, + [1509] = {.lex_state = 131}, + [1510] = {.lex_state = 138}, + [1511] = {.lex_state = 138}, + [1512] = {.lex_state = 852}, + [1513] = {.lex_state = 138}, + [1514] = {.lex_state = 138}, + [1515] = {.lex_state = 138}, + [1516] = {.lex_state = 138}, + [1517] = {.lex_state = 138}, + [1518] = {.lex_state = 138}, + [1519] = {.lex_state = 138}, + [1520] = {.lex_state = 136}, + [1521] = {.lex_state = 138}, + [1522] = {.lex_state = 138}, + [1523] = {.lex_state = 138}, + [1524] = {.lex_state = 138}, + [1525] = {.lex_state = 138}, + [1526] = {.lex_state = 138}, + [1527] = {.lex_state = 138}, + [1528] = {.lex_state = 138}, + [1529] = {.lex_state = 138}, + [1530] = {.lex_state = 138}, + [1531] = {.lex_state = 138}, + [1532] = {.lex_state = 852}, + [1533] = {.lex_state = 852}, + [1534] = {.lex_state = 138}, + [1535] = {.lex_state = 138}, + [1536] = {.lex_state = 138}, + [1537] = {.lex_state = 852}, + [1538] = {.lex_state = 852}, + [1539] = {.lex_state = 138}, + [1540] = {.lex_state = 138}, + [1541] = {.lex_state = 138}, + [1542] = {.lex_state = 138}, + [1543] = {.lex_state = 138}, + [1544] = {.lex_state = 138}, + [1545] = {.lex_state = 138}, + [1546] = {.lex_state = 138}, + [1547] = {.lex_state = 138}, + [1548] = {.lex_state = 137}, + [1549] = {.lex_state = 852}, + [1550] = {.lex_state = 137}, + [1551] = {.lex_state = 136}, + [1552] = {.lex_state = 136}, + [1553] = {.lex_state = 136}, + [1554] = {.lex_state = 136}, + [1555] = {.lex_state = 136}, + [1556] = {.lex_state = 853}, + [1557] = {.lex_state = 136}, + [1558] = {.lex_state = 853}, + [1559] = {.lex_state = 853}, + [1560] = {.lex_state = 136}, + [1561] = {.lex_state = 853}, + [1562] = {.lex_state = 136}, + [1563] = {.lex_state = 136}, + [1564] = {.lex_state = 136}, + [1565] = {.lex_state = 136}, + [1566] = {.lex_state = 854}, + [1567] = {.lex_state = 136}, + [1568] = {.lex_state = 136}, + [1569] = {.lex_state = 136}, + [1570] = {.lex_state = 136}, + [1571] = {.lex_state = 136}, + [1572] = {.lex_state = 853}, + [1573] = {.lex_state = 853}, + [1574] = {.lex_state = 136}, + [1575] = {.lex_state = 136}, + [1576] = {.lex_state = 136}, + [1577] = {.lex_state = 136}, + [1578] = {.lex_state = 853}, + [1579] = {.lex_state = 136}, + [1580] = {.lex_state = 136}, + [1581] = {.lex_state = 136}, + [1582] = {.lex_state = 136}, + [1583] = {.lex_state = 136}, + [1584] = {.lex_state = 136}, + [1585] = {.lex_state = 136}, + [1586] = {.lex_state = 136}, + [1587] = {.lex_state = 136}, + [1588] = {.lex_state = 136}, + [1589] = {.lex_state = 136}, + [1590] = {.lex_state = 854}, + [1591] = {.lex_state = 854}, + [1592] = {.lex_state = 853}, + [1593] = {.lex_state = 136}, + [1594] = {.lex_state = 136}, + [1595] = {.lex_state = 136}, + [1596] = {.lex_state = 136}, + [1597] = {.lex_state = 136}, + [1598] = {.lex_state = 136}, + [1599] = {.lex_state = 136}, + [1600] = {.lex_state = 136}, + [1601] = {.lex_state = 136}, + [1602] = {.lex_state = 136}, + [1603] = {.lex_state = 136}, + [1604] = {.lex_state = 136}, + [1605] = {.lex_state = 136}, + [1606] = {.lex_state = 136}, + [1607] = {.lex_state = 136}, + [1608] = {.lex_state = 136}, + [1609] = {.lex_state = 852}, + [1610] = {.lex_state = 853}, + [1611] = {.lex_state = 136}, + [1612] = {.lex_state = 136}, + [1613] = {.lex_state = 136}, + [1614] = {.lex_state = 136}, + [1615] = {.lex_state = 136}, + [1616] = {.lex_state = 136}, + [1617] = {.lex_state = 136}, + [1618] = {.lex_state = 136}, + [1619] = {.lex_state = 136}, + [1620] = {.lex_state = 136}, + [1621] = {.lex_state = 136}, + [1622] = {.lex_state = 136}, + [1623] = {.lex_state = 136}, + [1624] = {.lex_state = 136}, + [1625] = {.lex_state = 136}, + [1626] = {.lex_state = 136}, + [1627] = {.lex_state = 853}, + [1628] = {.lex_state = 136}, + [1629] = {.lex_state = 136}, + [1630] = {.lex_state = 136}, + [1631] = {.lex_state = 136}, + [1632] = {.lex_state = 136}, + [1633] = {.lex_state = 136}, + [1634] = {.lex_state = 852}, + [1635] = {.lex_state = 136}, + [1636] = {.lex_state = 136}, + [1637] = {.lex_state = 136}, + [1638] = {.lex_state = 136}, + [1639] = {.lex_state = 136}, + [1640] = {.lex_state = 136}, + [1641] = {.lex_state = 136}, + [1642] = {.lex_state = 136}, + [1643] = {.lex_state = 852}, + [1644] = {.lex_state = 853}, + [1645] = {.lex_state = 136}, + [1646] = {.lex_state = 136}, + [1647] = {.lex_state = 136}, + [1648] = {.lex_state = 136}, + [1649] = {.lex_state = 136}, + [1650] = {.lex_state = 136}, + [1651] = {.lex_state = 136}, + [1652] = {.lex_state = 136}, + [1653] = {.lex_state = 136}, + [1654] = {.lex_state = 136}, + [1655] = {.lex_state = 136}, + [1656] = {.lex_state = 136}, + [1657] = {.lex_state = 851}, + [1658] = {.lex_state = 863}, + [1659] = {.lex_state = 863}, + [1660] = {.lex_state = 853}, + [1661] = {.lex_state = 863}, + [1662] = {.lex_state = 851}, + [1663] = {.lex_state = 851}, + [1664] = {.lex_state = 851}, + [1665] = {.lex_state = 851}, + [1666] = {.lex_state = 851}, + [1667] = {.lex_state = 866}, + [1668] = {.lex_state = 851}, + [1669] = {.lex_state = 853}, + [1670] = {.lex_state = 853}, + [1671] = {.lex_state = 851}, + [1672] = {.lex_state = 851}, + [1673] = {.lex_state = 851}, + [1674] = {.lex_state = 851}, + [1675] = {.lex_state = 853}, + [1676] = {.lex_state = 853}, + [1677] = {.lex_state = 853}, + [1678] = {.lex_state = 853}, + [1679] = {.lex_state = 853}, + [1680] = {.lex_state = 853}, + [1681] = {.lex_state = 853}, + [1682] = {.lex_state = 853}, + [1683] = {.lex_state = 853}, + [1684] = {.lex_state = 853}, + [1685] = {.lex_state = 853}, + [1686] = {.lex_state = 853}, + [1687] = {.lex_state = 853}, + [1688] = {.lex_state = 853}, + [1689] = {.lex_state = 851}, + [1690] = {.lex_state = 853}, + [1691] = {.lex_state = 853}, + [1692] = {.lex_state = 853}, + [1693] = {.lex_state = 853}, + [1694] = {.lex_state = 853}, + [1695] = {.lex_state = 854}, + [1696] = {.lex_state = 853}, + [1697] = {.lex_state = 152}, + [1698] = {.lex_state = 854}, + [1699] = {.lex_state = 152}, + [1700] = {.lex_state = 854}, + [1701] = {.lex_state = 861}, + [1702] = {.lex_state = 867}, + [1703] = {.lex_state = 867}, + [1704] = {.lex_state = 852}, + [1705] = {.lex_state = 853}, + [1706] = {.lex_state = 853}, + [1707] = {.lex_state = 867}, + [1708] = {.lex_state = 852}, + [1709] = {.lex_state = 867}, + [1710] = {.lex_state = 867}, + [1711] = {.lex_state = 854}, + [1712] = {.lex_state = 867}, + [1713] = {.lex_state = 853}, + [1714] = {.lex_state = 867}, + [1715] = {.lex_state = 867}, + [1716] = {.lex_state = 853}, + [1717] = {.lex_state = 854}, + [1718] = {.lex_state = 867}, + [1719] = {.lex_state = 867}, + [1720] = {.lex_state = 854}, + [1721] = {.lex_state = 867}, + [1722] = {.lex_state = 853}, + [1723] = {.lex_state = 867}, + [1724] = {.lex_state = 867}, + [1725] = {.lex_state = 852}, + [1726] = {.lex_state = 852}, + [1727] = {.lex_state = 861}, + [1728] = {.lex_state = 852}, + [1729] = {.lex_state = 867}, + [1730] = {.lex_state = 867}, + [1731] = {.lex_state = 852}, + [1732] = {.lex_state = 862}, + [1733] = {.lex_state = 862}, + [1734] = {.lex_state = 867}, + [1735] = {.lex_state = 852}, + [1736] = {.lex_state = 852}, + [1737] = {.lex_state = 862}, + [1738] = {.lex_state = 861}, + [1739] = {.lex_state = 851}, + [1740] = {.lex_state = 867}, + [1741] = {.lex_state = 852}, + [1742] = {.lex_state = 852}, + [1743] = {.lex_state = 862}, + [1744] = {.lex_state = 852}, + [1745] = {.lex_state = 852}, + [1746] = {.lex_state = 851}, + [1747] = {.lex_state = 861}, + [1748] = {.lex_state = 867}, + [1749] = {.lex_state = 862}, + [1750] = {.lex_state = 853}, + [1751] = {.lex_state = 853}, + [1752] = {.lex_state = 853}, + [1753] = {.lex_state = 853}, + [1754] = {.lex_state = 851}, + [1755] = {.lex_state = 853}, + [1756] = {.lex_state = 861}, + [1757] = {.lex_state = 155}, + [1758] = {.lex_state = 853}, + [1759] = {.lex_state = 853}, + [1760] = {.lex_state = 853}, + [1761] = {.lex_state = 155}, + [1762] = {.lex_state = 853}, + [1763] = {.lex_state = 853}, + [1764] = {.lex_state = 861}, + [1765] = {.lex_state = 853}, + [1766] = {.lex_state = 861}, + [1767] = {.lex_state = 862}, + [1768] = {.lex_state = 853}, + [1769] = {.lex_state = 0}, + [1770] = {.lex_state = 853}, + [1771] = {.lex_state = 862}, + [1772] = {.lex_state = 853}, + [1773] = {.lex_state = 862}, + [1774] = {.lex_state = 853}, + [1775] = {.lex_state = 853}, + [1776] = {.lex_state = 853}, + [1777] = {.lex_state = 853}, + [1778] = {.lex_state = 853}, + [1779] = {.lex_state = 853}, + [1780] = {.lex_state = 853}, + [1781] = {.lex_state = 853}, + [1782] = {.lex_state = 853}, + [1783] = {.lex_state = 853}, + [1784] = {.lex_state = 853}, + [1785] = {.lex_state = 862}, + [1786] = {.lex_state = 853}, + [1787] = {.lex_state = 853}, + [1788] = {.lex_state = 853}, + [1789] = {.lex_state = 853}, + [1790] = {.lex_state = 853}, + [1791] = {.lex_state = 853}, + [1792] = {.lex_state = 853}, + [1793] = {.lex_state = 853}, + [1794] = {.lex_state = 853}, + [1795] = {.lex_state = 853}, + [1796] = {.lex_state = 853}, + [1797] = {.lex_state = 862}, + [1798] = {.lex_state = 853}, + [1799] = {.lex_state = 862}, + [1800] = {.lex_state = 853}, + [1801] = {.lex_state = 853}, + [1802] = {.lex_state = 853}, + [1803] = {.lex_state = 853}, + [1804] = {.lex_state = 853}, + [1805] = {.lex_state = 853}, + [1806] = {.lex_state = 853}, + [1807] = {.lex_state = 853}, + [1808] = {.lex_state = 854}, + [1809] = {.lex_state = 854}, + [1810] = {.lex_state = 853}, + [1811] = {.lex_state = 0}, + [1812] = {.lex_state = 853}, + [1813] = {.lex_state = 853}, + [1814] = {.lex_state = 853}, + [1815] = {.lex_state = 853}, + [1816] = {.lex_state = 853}, + [1817] = {.lex_state = 853}, + [1818] = {.lex_state = 853}, + [1819] = {.lex_state = 854}, + [1820] = {.lex_state = 853}, + [1821] = {.lex_state = 853}, + [1822] = {.lex_state = 853}, + [1823] = {.lex_state = 853}, + [1824] = {.lex_state = 0}, + [1825] = {.lex_state = 0}, + [1826] = {.lex_state = 853}, + [1827] = {.lex_state = 853}, + [1828] = {.lex_state = 853}, + [1829] = {.lex_state = 853}, + [1830] = {.lex_state = 853}, + [1831] = {.lex_state = 853}, + [1832] = {.lex_state = 853}, + [1833] = {.lex_state = 853}, + [1834] = {.lex_state = 854}, + [1835] = {.lex_state = 853}, + [1836] = {.lex_state = 853}, + [1837] = {.lex_state = 853}, + [1838] = {.lex_state = 853}, + [1839] = {.lex_state = 853}, + [1840] = {.lex_state = 853}, + [1841] = {.lex_state = 853}, + [1842] = {.lex_state = 853}, + [1843] = {.lex_state = 853}, + [1844] = {.lex_state = 853}, + [1845] = {.lex_state = 853}, + [1846] = {.lex_state = 853}, + [1847] = {.lex_state = 853}, + [1848] = {.lex_state = 853}, + [1849] = {.lex_state = 853}, + [1850] = {.lex_state = 853}, + [1851] = {.lex_state = 853}, + [1852] = {.lex_state = 853}, + [1853] = {.lex_state = 853}, + [1854] = {.lex_state = 853}, + [1855] = {.lex_state = 853}, + [1856] = {.lex_state = 853}, + [1857] = {.lex_state = 853}, + [1858] = {.lex_state = 156}, + [1859] = {.lex_state = 853}, + [1860] = {.lex_state = 853}, + [1861] = {.lex_state = 853}, + [1862] = {.lex_state = 853}, + [1863] = {.lex_state = 853}, + [1864] = {.lex_state = 853}, + [1865] = {.lex_state = 853}, + [1866] = {.lex_state = 853}, + [1867] = {.lex_state = 853}, + [1868] = {.lex_state = 853}, + [1869] = {.lex_state = 853}, + [1870] = {.lex_state = 853}, + [1871] = {.lex_state = 853}, + [1872] = {.lex_state = 853}, + [1873] = {.lex_state = 853}, + [1874] = {.lex_state = 853}, + [1875] = {.lex_state = 853}, + [1876] = {.lex_state = 853}, + [1877] = {.lex_state = 853}, + [1878] = {.lex_state = 853}, + [1879] = {.lex_state = 853}, + [1880] = {.lex_state = 853}, + [1881] = {.lex_state = 853}, + [1882] = {.lex_state = 853}, + [1883] = {.lex_state = 853}, + [1884] = {.lex_state = 853}, + [1885] = {.lex_state = 853}, + [1886] = {.lex_state = 853}, + [1887] = {.lex_state = 853}, + [1888] = {.lex_state = 853}, + [1889] = {.lex_state = 853}, + [1890] = {.lex_state = 853}, + [1891] = {.lex_state = 853}, + [1892] = {.lex_state = 853}, + [1893] = {.lex_state = 853}, + [1894] = {.lex_state = 853}, + [1895] = {.lex_state = 853}, + [1896] = {.lex_state = 853}, + [1897] = {.lex_state = 853}, + [1898] = {.lex_state = 853}, + [1899] = {.lex_state = 853}, + [1900] = {.lex_state = 853}, + [1901] = {.lex_state = 853}, + [1902] = {.lex_state = 853}, + [1903] = {.lex_state = 853}, + [1904] = {.lex_state = 853}, + [1905] = {.lex_state = 156}, + [1906] = {.lex_state = 853}, + [1907] = {.lex_state = 853}, + [1908] = {.lex_state = 853}, + [1909] = {.lex_state = 862}, + [1910] = {.lex_state = 152}, + [1911] = {.lex_state = 854}, + [1912] = {.lex_state = 853}, + [1913] = {.lex_state = 151}, + [1914] = {.lex_state = 152}, + [1915] = {.lex_state = 151}, + [1916] = {.lex_state = 157}, + [1917] = {.lex_state = 853}, + [1918] = {.lex_state = 854}, + [1919] = {.lex_state = 853}, + [1920] = {.lex_state = 157}, + [1921] = {.lex_state = 853}, + [1922] = {.lex_state = 0}, + [1923] = {.lex_state = 0}, + [1924] = {.lex_state = 0}, + [1925] = {.lex_state = 853}, + [1926] = {.lex_state = 0}, + [1927] = {.lex_state = 151}, + [1928] = {.lex_state = 0}, + [1929] = {.lex_state = 0}, + [1930] = {.lex_state = 0}, + [1931] = {.lex_state = 158}, + [1932] = {.lex_state = 158}, + [1933] = {.lex_state = 153}, + [1934] = {.lex_state = 151}, + [1935] = {.lex_state = 158}, + [1936] = {.lex_state = 151}, + [1937] = {.lex_state = 151}, + [1938] = {.lex_state = 0}, + [1939] = {.lex_state = 151}, + [1940] = {.lex_state = 151}, + [1941] = {.lex_state = 0}, + [1942] = {.lex_state = 151}, + [1943] = {.lex_state = 151}, + [1944] = {.lex_state = 151}, + [1945] = {.lex_state = 151}, + [1946] = {.lex_state = 151}, + [1947] = {.lex_state = 0}, + [1948] = {.lex_state = 151}, + [1949] = {.lex_state = 151}, + [1950] = {.lex_state = 151}, + [1951] = {.lex_state = 151}, + [1952] = {.lex_state = 151}, + [1953] = {.lex_state = 151}, + [1954] = {.lex_state = 151}, + [1955] = {.lex_state = 151}, + [1956] = {.lex_state = 151}, + [1957] = {.lex_state = 151}, + [1958] = {.lex_state = 151}, + [1959] = {.lex_state = 151}, + [1960] = {.lex_state = 151}, + [1961] = {.lex_state = 151}, + [1962] = {.lex_state = 0}, + [1963] = {.lex_state = 151}, + [1964] = {.lex_state = 151}, + [1965] = {.lex_state = 0}, + [1966] = {.lex_state = 0}, + [1967] = {.lex_state = 853}, + [1968] = {.lex_state = 159}, + [1969] = {.lex_state = 853}, + [1970] = {.lex_state = 853}, + [1971] = {.lex_state = 0}, + [1972] = {.lex_state = 853}, + [1973] = {.lex_state = 853}, + [1974] = {.lex_state = 853}, + [1975] = {.lex_state = 853}, + [1976] = {.lex_state = 853}, + [1977] = {.lex_state = 853}, + [1978] = {.lex_state = 853}, + [1979] = {.lex_state = 0}, + [1980] = {.lex_state = 853}, + [1981] = {.lex_state = 853}, + [1982] = {.lex_state = 853}, + [1983] = {.lex_state = 853}, + [1984] = {.lex_state = 853}, + [1985] = {.lex_state = 853}, + [1986] = {.lex_state = 853}, + [1987] = {.lex_state = 0}, + [1988] = {.lex_state = 853}, + [1989] = {.lex_state = 853}, + [1990] = {.lex_state = 853}, + [1991] = {.lex_state = 853}, + [1992] = {.lex_state = 151}, + [1993] = {.lex_state = 160}, + [1994] = {.lex_state = 0}, + [1995] = {.lex_state = 0}, + [1996] = {.lex_state = 0}, + [1997] = {.lex_state = 161}, + [1998] = {.lex_state = 0}, + [1999] = {.lex_state = 153}, + [2000] = {.lex_state = 0}, + [2001] = {.lex_state = 160}, + [2002] = {.lex_state = 853}, + [2003] = {.lex_state = 0}, + [2004] = {.lex_state = 151}, + [2005] = {.lex_state = 153}, + [2006] = {.lex_state = 151}, + [2007] = {.lex_state = 160}, + [2008] = {.lex_state = 160}, + [2009] = {.lex_state = 0}, + [2010] = {.lex_state = 153}, + [2011] = {.lex_state = 0}, + [2012] = {.lex_state = 0}, + [2013] = {.lex_state = 160}, + [2014] = {.lex_state = 0}, + [2015] = {.lex_state = 0}, + [2016] = {.lex_state = 853}, + [2017] = {.lex_state = 0}, + [2018] = {.lex_state = 0}, + [2019] = {.lex_state = 0}, + [2020] = {.lex_state = 151}, + [2021] = {.lex_state = 151}, + [2022] = {.lex_state = 151}, + [2023] = {.lex_state = 0}, + [2024] = {.lex_state = 0}, + [2025] = {.lex_state = 160}, + [2026] = {.lex_state = 151}, + [2027] = {.lex_state = 162}, + [2028] = {.lex_state = 151}, + [2029] = {.lex_state = 151}, + [2030] = {.lex_state = 163}, + [2031] = {.lex_state = 0}, + [2032] = {.lex_state = 151}, + [2033] = {.lex_state = 164}, + [2034] = {.lex_state = 0}, + [2035] = {.lex_state = 165}, + [2036] = {.lex_state = 0}, + [2037] = {.lex_state = 151}, + [2038] = {.lex_state = 0}, + [2039] = {.lex_state = 151}, + [2040] = {.lex_state = 151}, + [2041] = {.lex_state = 151}, + [2042] = {.lex_state = 151}, + [2043] = {.lex_state = 151}, + [2044] = {.lex_state = 153}, + [2045] = {.lex_state = 151}, + [2046] = {.lex_state = 151}, + [2047] = {.lex_state = 153}, + [2048] = {.lex_state = 151}, + [2049] = {.lex_state = 163}, + [2050] = {.lex_state = 151}, + [2051] = {.lex_state = 151}, + [2052] = {.lex_state = 151}, + [2053] = {.lex_state = 151}, + [2054] = {.lex_state = 153}, + [2055] = {.lex_state = 151}, + [2056] = {.lex_state = 151}, + [2057] = {.lex_state = 151}, + [2058] = {.lex_state = 151}, + [2059] = {.lex_state = 151}, + [2060] = {.lex_state = 151}, + [2061] = {.lex_state = 151}, + [2062] = {.lex_state = 151}, + [2063] = {.lex_state = 151}, + [2064] = {.lex_state = 151}, + [2065] = {.lex_state = 151}, + [2066] = {.lex_state = 151}, + [2067] = {.lex_state = 151}, + [2068] = {.lex_state = 151}, + [2069] = {.lex_state = 0}, + [2070] = {.lex_state = 151}, + [2071] = {.lex_state = 163}, + [2072] = {.lex_state = 151}, + [2073] = {.lex_state = 151}, + [2074] = {.lex_state = 163}, + [2075] = {.lex_state = 151}, + [2076] = {.lex_state = 151}, + [2077] = {.lex_state = 163}, + [2078] = {.lex_state = 151}, + [2079] = {.lex_state = 163}, + [2080] = {.lex_state = 151}, + [2081] = {.lex_state = 151}, + [2082] = {.lex_state = 151}, + [2083] = {.lex_state = 853}, + [2084] = {.lex_state = 151}, + [2085] = {.lex_state = 853}, + [2086] = {.lex_state = 853}, + [2087] = {.lex_state = 0}, + [2088] = {.lex_state = 853}, + [2089] = {.lex_state = 853}, + [2090] = {.lex_state = 151}, + [2091] = {.lex_state = 854}, + [2092] = {.lex_state = 853}, + [2093] = {.lex_state = 151}, + [2094] = {.lex_state = 151}, + [2095] = {.lex_state = 0}, + [2096] = {.lex_state = 853}, + [2097] = {.lex_state = 853}, + [2098] = {.lex_state = 853}, + [2099] = {.lex_state = 853}, + [2100] = {.lex_state = 853}, + [2101] = {.lex_state = 853}, + [2102] = {.lex_state = 853}, + [2103] = {.lex_state = 853}, + [2104] = {.lex_state = 854}, + [2105] = {.lex_state = 151}, + [2106] = {.lex_state = 853}, + [2107] = {.lex_state = 853}, + [2108] = {.lex_state = 151}, + [2109] = {.lex_state = 853}, + [2110] = {.lex_state = 853}, + [2111] = {.lex_state = 853}, + [2112] = {.lex_state = 853}, + [2113] = {.lex_state = 151}, + [2114] = {.lex_state = 863}, + [2115] = {.lex_state = 0}, + [2116] = {.lex_state = 151}, + [2117] = {.lex_state = 151}, + [2118] = {.lex_state = 151}, + [2119] = {.lex_state = 0}, + [2120] = {.lex_state = 0}, + [2121] = {.lex_state = 0}, + [2122] = {.lex_state = 0}, + [2123] = {.lex_state = 151}, + [2124] = {.lex_state = 151}, + [2125] = {.lex_state = 151}, + [2126] = {.lex_state = 151}, + [2127] = {.lex_state = 0}, + [2128] = {.lex_state = 0}, + [2129] = {.lex_state = 151}, + [2130] = {.lex_state = 0}, + [2131] = {.lex_state = 151}, + [2132] = {.lex_state = 151}, + [2133] = {.lex_state = 0}, + [2134] = {.lex_state = 151}, + [2135] = {.lex_state = 151}, + [2136] = {.lex_state = 151}, + [2137] = {.lex_state = 0}, + [2138] = {.lex_state = 0}, + [2139] = {.lex_state = 0}, + [2140] = {.lex_state = 151}, + [2141] = {.lex_state = 151}, + [2142] = {.lex_state = 151}, + [2143] = {.lex_state = 151}, + [2144] = {.lex_state = 151}, + [2145] = {.lex_state = 151}, + [2146] = {.lex_state = 0}, + [2147] = {.lex_state = 0}, + [2148] = {.lex_state = 151}, + [2149] = {.lex_state = 0}, + [2150] = {.lex_state = 0}, + [2151] = {.lex_state = 0}, + [2152] = {.lex_state = 151}, + [2153] = {.lex_state = 151}, + [2154] = {.lex_state = 151}, + [2155] = {.lex_state = 0}, + [2156] = {.lex_state = 151}, + [2157] = {.lex_state = 151}, + [2158] = {.lex_state = 151}, + [2159] = {.lex_state = 151}, + [2160] = {.lex_state = 0}, + [2161] = {.lex_state = 151}, + [2162] = {.lex_state = 0}, + [2163] = {.lex_state = 0}, + [2164] = {.lex_state = 151}, + [2165] = {.lex_state = 0}, + [2166] = {.lex_state = 0}, + [2167] = {.lex_state = 151}, + [2168] = {.lex_state = 151}, + [2169] = {.lex_state = 151}, + [2170] = {.lex_state = 0}, + [2171] = {.lex_state = 151}, + [2172] = {.lex_state = 151}, + [2173] = {.lex_state = 0}, + [2174] = {.lex_state = 151}, + [2175] = {.lex_state = 0}, + [2176] = {.lex_state = 151}, + [2177] = {.lex_state = 0}, + [2178] = {.lex_state = 151}, + [2179] = {.lex_state = 151}, + [2180] = {.lex_state = 151}, + [2181] = {.lex_state = 151}, + [2182] = {.lex_state = 151}, + [2183] = {.lex_state = 151}, + [2184] = {.lex_state = 0}, + [2185] = {.lex_state = 151}, + [2186] = {.lex_state = 151}, + [2187] = {.lex_state = 0}, + [2188] = {.lex_state = 0}, + [2189] = {.lex_state = 151}, + [2190] = {.lex_state = 0}, + [2191] = {.lex_state = 151}, + [2192] = {.lex_state = 151}, + [2193] = {.lex_state = 151}, + [2194] = {.lex_state = 151}, + [2195] = {.lex_state = 151}, + [2196] = {.lex_state = 151}, + [2197] = {.lex_state = 151}, + [2198] = {.lex_state = 0}, + [2199] = {.lex_state = 151}, + [2200] = {.lex_state = 151}, + [2201] = {.lex_state = 151}, + [2202] = {.lex_state = 151}, + [2203] = {.lex_state = 151}, + [2204] = {.lex_state = 151}, + [2205] = {.lex_state = 151}, + [2206] = {.lex_state = 0}, + [2207] = {.lex_state = 0}, + [2208] = {.lex_state = 0}, + [2209] = {.lex_state = 0}, + [2210] = {.lex_state = 0}, + [2211] = {.lex_state = 0}, + [2212] = {.lex_state = 0}, + [2213] = {.lex_state = 0}, + [2214] = {.lex_state = 862}, + [2215] = {.lex_state = 0}, + [2216] = {.lex_state = 0}, + [2217] = {.lex_state = 854}, + [2218] = {.lex_state = 0}, + [2219] = {.lex_state = 0}, + [2220] = {.lex_state = 853}, + [2221] = {.lex_state = 0}, + [2222] = {.lex_state = 854}, + [2223] = {.lex_state = 0}, + [2224] = {.lex_state = 0}, + [2225] = {.lex_state = 0}, + [2226] = {.lex_state = 0}, + [2227] = {.lex_state = 0}, + [2228] = {.lex_state = 0}, + [2229] = {.lex_state = 862}, + [2230] = {.lex_state = 0}, + [2231] = {.lex_state = 0}, + [2232] = {.lex_state = 0}, + [2233] = {.lex_state = 151}, + [2234] = {.lex_state = 0}, + [2235] = {.lex_state = 0}, + [2236] = {.lex_state = 0}, + [2237] = {.lex_state = 151}, + [2238] = {.lex_state = 0}, + [2239] = {.lex_state = 151}, + [2240] = {.lex_state = 0}, + [2241] = {.lex_state = 0}, + [2242] = {.lex_state = 0}, + [2243] = {.lex_state = 0}, + [2244] = {.lex_state = 0}, + [2245] = {.lex_state = 0}, + [2246] = {.lex_state = 0}, + [2247] = {.lex_state = 0}, + [2248] = {.lex_state = 0}, + [2249] = {.lex_state = 0}, + [2250] = {.lex_state = 0}, + [2251] = {.lex_state = 0}, + [2252] = {.lex_state = 0}, + [2253] = {.lex_state = 0}, + [2254] = {.lex_state = 0}, + [2255] = {.lex_state = 0}, + [2256] = {.lex_state = 0}, + [2257] = {.lex_state = 0}, + [2258] = {.lex_state = 0}, + [2259] = {.lex_state = 0}, + [2260] = {.lex_state = 0}, + [2261] = {.lex_state = 0}, + [2262] = {.lex_state = 0}, + [2263] = {.lex_state = 0}, + [2264] = {.lex_state = 0}, + [2265] = {.lex_state = 0}, + [2266] = {.lex_state = 0}, + [2267] = {.lex_state = 0}, + [2268] = {.lex_state = 0}, + [2269] = {.lex_state = 0}, + [2270] = {.lex_state = 853}, + [2271] = {.lex_state = 0}, + [2272] = {.lex_state = 0}, + [2273] = {.lex_state = 0}, + [2274] = {.lex_state = 0}, + [2275] = {.lex_state = 0}, + [2276] = {.lex_state = 0}, + [2277] = {.lex_state = 0}, + [2278] = {.lex_state = 0}, + [2279] = {.lex_state = 0}, + [2280] = {.lex_state = 0}, + [2281] = {.lex_state = 0}, + [2282] = {.lex_state = 0}, + [2283] = {.lex_state = 0}, + [2284] = {.lex_state = 0}, + [2285] = {.lex_state = 0}, + [2286] = {.lex_state = 0}, + [2287] = {.lex_state = 0}, + [2288] = {.lex_state = 0}, + [2289] = {.lex_state = 0}, + [2290] = {.lex_state = 0}, + [2291] = {.lex_state = 0}, + [2292] = {.lex_state = 0}, + [2293] = {.lex_state = 0}, + [2294] = {.lex_state = 0}, + [2295] = {.lex_state = 0}, + [2296] = {.lex_state = 0}, + [2297] = {.lex_state = 0}, + [2298] = {.lex_state = 0}, + [2299] = {.lex_state = 0}, + [2300] = {.lex_state = 0}, + [2301] = {.lex_state = 853}, + [2302] = {.lex_state = 0}, + [2303] = {.lex_state = 0}, + [2304] = {.lex_state = 0}, + [2305] = {.lex_state = 0}, + [2306] = {.lex_state = 0}, + [2307] = {.lex_state = 0}, + [2308] = {.lex_state = 0}, + [2309] = {.lex_state = 0}, + [2310] = {.lex_state = 0}, + [2311] = {.lex_state = 0}, + [2312] = {.lex_state = 0}, + [2313] = {.lex_state = 0}, + [2314] = {.lex_state = 0}, + [2315] = {.lex_state = 0}, + [2316] = {.lex_state = 0}, + [2317] = {.lex_state = 0}, + [2318] = {.lex_state = 0}, + [2319] = {.lex_state = 0}, + [2320] = {.lex_state = 0}, + [2321] = {.lex_state = 0}, + [2322] = {.lex_state = 0}, + [2323] = {.lex_state = 0}, + [2324] = {.lex_state = 0}, + [2325] = {.lex_state = 0}, + [2326] = {.lex_state = 0}, + [2327] = {.lex_state = 0}, + [2328] = {.lex_state = 0}, + [2329] = {.lex_state = 0}, + [2330] = {.lex_state = 0}, + [2331] = {.lex_state = 0}, + [2332] = {.lex_state = 0}, + [2333] = {.lex_state = 0}, + [2334] = {.lex_state = 0}, + [2335] = {.lex_state = 0}, + [2336] = {.lex_state = 0}, + [2337] = {.lex_state = 0}, + [2338] = {.lex_state = 0}, + [2339] = {.lex_state = 0}, + [2340] = {.lex_state = 0}, + [2341] = {.lex_state = 0}, + [2342] = {.lex_state = 0}, + [2343] = {.lex_state = 0}, + [2344] = {.lex_state = 0}, + [2345] = {.lex_state = 0}, + [2346] = {.lex_state = 0}, + [2347] = {.lex_state = 853}, + [2348] = {.lex_state = 0}, + [2349] = {.lex_state = 0}, + [2350] = {.lex_state = 0}, + [2351] = {.lex_state = 0}, + [2352] = {.lex_state = 0}, + [2353] = {.lex_state = 0}, + [2354] = {.lex_state = 0}, + [2355] = {.lex_state = 0}, + [2356] = {.lex_state = 853}, + [2357] = {.lex_state = 0}, + [2358] = {.lex_state = 0}, + [2359] = {.lex_state = 0}, + [2360] = {.lex_state = 0}, + [2361] = {.lex_state = 0}, + [2362] = {.lex_state = 0}, + [2363] = {.lex_state = 0}, + [2364] = {.lex_state = 0}, + [2365] = {.lex_state = 0}, + [2366] = {.lex_state = 0}, + [2367] = {.lex_state = 0}, + [2368] = {.lex_state = 0}, + [2369] = {.lex_state = 0}, + [2370] = {.lex_state = 0}, + [2371] = {.lex_state = 0}, + [2372] = {.lex_state = 0}, + [2373] = {.lex_state = 0}, + [2374] = {.lex_state = 0}, + [2375] = {.lex_state = 0}, + [2376] = {.lex_state = 0}, + [2377] = {.lex_state = 0}, + [2378] = {.lex_state = 0}, + [2379] = {.lex_state = 0}, + [2380] = {.lex_state = 0}, + [2381] = {.lex_state = 0}, + [2382] = {.lex_state = 0}, + [2383] = {.lex_state = 0}, + [2384] = {.lex_state = 0}, + [2385] = {.lex_state = 0}, + [2386] = {.lex_state = 0}, + [2387] = {.lex_state = 0}, + [2388] = {.lex_state = 0}, + [2389] = {.lex_state = 0}, + [2390] = {.lex_state = 0}, + [2391] = {.lex_state = 0}, + [2392] = {.lex_state = 0}, + [2393] = {.lex_state = 0}, + [2394] = {.lex_state = 0}, + [2395] = {.lex_state = 0}, + [2396] = {.lex_state = 0}, + [2397] = {.lex_state = 0}, + [2398] = {.lex_state = 0}, + [2399] = {.lex_state = 0}, + [2400] = {.lex_state = 0}, + [2401] = {.lex_state = 0}, + [2402] = {.lex_state = 0}, + [2403] = {.lex_state = 0}, + [2404] = {.lex_state = 0}, + [2405] = {.lex_state = 0}, + [2406] = {.lex_state = 0}, + [2407] = {.lex_state = 0}, + [2408] = {.lex_state = 0}, + [2409] = {.lex_state = 0}, + [2410] = {.lex_state = 0}, + [2411] = {.lex_state = 0}, + [2412] = {.lex_state = 0}, + [2413] = {.lex_state = 0}, + [2414] = {.lex_state = 0}, + [2415] = {.lex_state = 0}, + [2416] = {.lex_state = 0}, + [2417] = {.lex_state = 0}, + [2418] = {.lex_state = 0}, + [2419] = {.lex_state = 0}, + [2420] = {.lex_state = 0}, + [2421] = {.lex_state = 0}, + [2422] = {.lex_state = 0}, + [2423] = {.lex_state = 0}, + [2424] = {.lex_state = 0}, + [2425] = {.lex_state = 0}, + [2426] = {.lex_state = 0}, + [2427] = {.lex_state = 0}, + [2428] = {.lex_state = 0}, + [2429] = {.lex_state = 0}, + [2430] = {.lex_state = 0}, + [2431] = {.lex_state = 0}, + [2432] = {.lex_state = 0}, + [2433] = {.lex_state = 0}, + [2434] = {.lex_state = 0}, + [2435] = {.lex_state = 0}, + [2436] = {.lex_state = 0}, + [2437] = {.lex_state = 0}, + [2438] = {.lex_state = 0}, + [2439] = {.lex_state = 0}, + [2440] = {.lex_state = 0}, + [2441] = {.lex_state = 0}, + [2442] = {.lex_state = 0}, + [2443] = {.lex_state = 0}, + [2444] = {.lex_state = 0}, + [2445] = {.lex_state = 0}, + [2446] = {.lex_state = 0}, + [2447] = {.lex_state = 0}, + [2448] = {.lex_state = 0}, + [2449] = {.lex_state = 0}, + [2450] = {.lex_state = 0}, + [2451] = {.lex_state = 0}, + [2452] = {.lex_state = 0}, + [2453] = {.lex_state = 0}, + [2454] = {.lex_state = 0}, + [2455] = {.lex_state = 0}, + [2456] = {.lex_state = 0}, + [2457] = {.lex_state = 0}, + [2458] = {.lex_state = 0}, + [2459] = {.lex_state = 0}, + [2460] = {.lex_state = 0}, + [2461] = {.lex_state = 0}, + [2462] = {.lex_state = 0}, + [2463] = {.lex_state = 0}, + [2464] = {.lex_state = 0}, + [2465] = {.lex_state = 0}, + [2466] = {.lex_state = 0}, + [2467] = {.lex_state = 0}, + [2468] = {.lex_state = 0}, + [2469] = {.lex_state = 0}, + [2470] = {.lex_state = 0}, + [2471] = {.lex_state = 0}, + [2472] = {.lex_state = 0}, + [2473] = {.lex_state = 0}, + [2474] = {.lex_state = 0}, + [2475] = {.lex_state = 0}, + [2476] = {.lex_state = 0}, + [2477] = {.lex_state = 0}, + [2478] = {.lex_state = 0}, + [2479] = {.lex_state = 0}, + [2480] = {.lex_state = 0}, + [2481] = {.lex_state = 0}, + [2482] = {.lex_state = 0}, + [2483] = {.lex_state = 0}, + [2484] = {.lex_state = 0}, + [2485] = {.lex_state = 0}, + [2486] = {.lex_state = 0}, + [2487] = {.lex_state = 0}, + [2488] = {.lex_state = 0}, + [2489] = {.lex_state = 0}, + [2490] = {.lex_state = 0}, + [2491] = {.lex_state = 0}, + [2492] = {.lex_state = 0}, + [2493] = {.lex_state = 853}, + [2494] = {.lex_state = 0}, + [2495] = {.lex_state = 0}, + [2496] = {.lex_state = 0}, + [2497] = {.lex_state = 0}, + [2498] = {.lex_state = 0}, + [2499] = {.lex_state = 0}, + [2500] = {.lex_state = 0}, + [2501] = {.lex_state = 0}, + [2502] = {.lex_state = 0}, + [2503] = {.lex_state = 853}, + [2504] = {.lex_state = 0}, + [2505] = {.lex_state = 0}, + [2506] = {.lex_state = 0}, + [2507] = {.lex_state = 0}, + [2508] = {.lex_state = 0}, + [2509] = {.lex_state = 0}, + [2510] = {.lex_state = 0}, + [2511] = {.lex_state = 0}, + [2512] = {.lex_state = 0}, + [2513] = {.lex_state = 0}, + [2514] = {.lex_state = 0}, + [2515] = {.lex_state = 0}, + [2516] = {.lex_state = 0}, + [2517] = {.lex_state = 0}, + [2518] = {.lex_state = 0}, + [2519] = {.lex_state = 0}, + [2520] = {.lex_state = 0}, + [2521] = {.lex_state = 0}, + [2522] = {.lex_state = 0}, + [2523] = {.lex_state = 0}, + [2524] = {.lex_state = 0}, + [2525] = {.lex_state = 0}, + [2526] = {.lex_state = 0}, + [2527] = {.lex_state = 0}, + [2528] = {.lex_state = 0}, + [2529] = {.lex_state = 0}, + [2530] = {.lex_state = 0}, + [2531] = {.lex_state = 0}, + [2532] = {.lex_state = 0}, + [2533] = {.lex_state = 0}, + [2534] = {.lex_state = 0}, + [2535] = {.lex_state = 0}, + [2536] = {.lex_state = 0}, + [2537] = {.lex_state = 0}, + [2538] = {.lex_state = 0}, + [2539] = {.lex_state = 0}, + [2540] = {.lex_state = 0}, + [2541] = {.lex_state = 0}, + [2542] = {.lex_state = 0}, + [2543] = {.lex_state = 0}, + [2544] = {.lex_state = 0}, + [2545] = {.lex_state = 0}, + [2546] = {.lex_state = 0}, + [2547] = {.lex_state = 0}, + [2548] = {.lex_state = 853}, + [2549] = {.lex_state = 0}, + [2550] = {.lex_state = 0}, + [2551] = {.lex_state = 0}, + [2552] = {.lex_state = 0}, + [2553] = {.lex_state = 0}, + [2554] = {.lex_state = 0}, + [2555] = {.lex_state = 0}, + [2556] = {.lex_state = 0}, + [2557] = {.lex_state = 0}, + [2558] = {.lex_state = 0}, + [2559] = {.lex_state = 0}, + [2560] = {.lex_state = 0}, + [2561] = {.lex_state = 0}, + [2562] = {.lex_state = 0}, + [2563] = {.lex_state = 0}, + [2564] = {.lex_state = 0}, + [2565] = {.lex_state = 0}, + [2566] = {.lex_state = 0}, + [2567] = {.lex_state = 0}, + [2568] = {.lex_state = 0}, + [2569] = {.lex_state = 0}, + [2570] = {.lex_state = 0}, + [2571] = {.lex_state = 0}, + [2572] = {.lex_state = 0}, + [2573] = {.lex_state = 0}, + [2574] = {.lex_state = 0}, + [2575] = {.lex_state = 0}, + [2576] = {.lex_state = 0}, + [2577] = {.lex_state = 0}, + [2578] = {.lex_state = 0}, + [2579] = {.lex_state = 0}, + [2580] = {.lex_state = 0}, + [2581] = {.lex_state = 0}, + [2582] = {.lex_state = 0}, + [2583] = {.lex_state = 0}, + [2584] = {.lex_state = 0}, + [2585] = {.lex_state = 0}, + [2586] = {.lex_state = 0}, + [2587] = {.lex_state = 0}, + [2588] = {.lex_state = 0}, + [2589] = {.lex_state = 0}, + [2590] = {.lex_state = 0}, + [2591] = {.lex_state = 0}, + [2592] = {.lex_state = 0}, + [2593] = {.lex_state = 0}, + [2594] = {.lex_state = 0}, + [2595] = {.lex_state = 0}, + [2596] = {.lex_state = 0}, + [2597] = {.lex_state = 0}, + [2598] = {.lex_state = 0}, + [2599] = {.lex_state = 0}, + [2600] = {.lex_state = 0}, + [2601] = {.lex_state = 0}, + [2602] = {.lex_state = 0}, + [2603] = {.lex_state = 0}, + [2604] = {.lex_state = 0}, + [2605] = {.lex_state = 0}, + [2606] = {.lex_state = 0}, + [2607] = {.lex_state = 0}, + [2608] = {.lex_state = 0}, + [2609] = {.lex_state = 0}, + [2610] = {.lex_state = 0}, + [2611] = {.lex_state = 0}, + [2612] = {.lex_state = 0}, + [2613] = {.lex_state = 0}, + [2614] = {.lex_state = 0}, + [2615] = {.lex_state = 0}, + [2616] = {.lex_state = 0}, + [2617] = {.lex_state = 0}, + [2618] = {.lex_state = 0}, + [2619] = {.lex_state = 0}, + [2620] = {.lex_state = 0}, + [2621] = {.lex_state = 0}, + [2622] = {.lex_state = 853}, + [2623] = {.lex_state = 0}, + [2624] = {.lex_state = 0}, + [2625] = {.lex_state = 0}, + [2626] = {.lex_state = 0}, + [2627] = {.lex_state = 0}, + [2628] = {.lex_state = 0}, + [2629] = {.lex_state = 0}, + [2630] = {.lex_state = 0}, + [2631] = {.lex_state = 0}, + [2632] = {.lex_state = 0}, + [2633] = {.lex_state = 0}, + [2634] = {.lex_state = 0}, + [2635] = {.lex_state = 0}, + [2636] = {.lex_state = 0}, + [2637] = {.lex_state = 0}, + [2638] = {.lex_state = 0}, + [2639] = {.lex_state = 0}, + [2640] = {.lex_state = 0}, + [2641] = {.lex_state = 0}, + [2642] = {.lex_state = 0}, + [2643] = {.lex_state = 0}, + [2644] = {.lex_state = 0}, + [2645] = {.lex_state = 0}, + [2646] = {.lex_state = 0}, + [2647] = {.lex_state = 0}, + [2648] = {.lex_state = 0}, + [2649] = {.lex_state = 0}, + [2650] = {.lex_state = 0}, + [2651] = {.lex_state = 0}, + [2652] = {.lex_state = 0}, + [2653] = {.lex_state = 0}, + [2654] = {.lex_state = 0}, + [2655] = {.lex_state = 0}, + [2656] = {.lex_state = 0}, + [2657] = {.lex_state = 0}, + [2658] = {.lex_state = 0}, + [2659] = {.lex_state = 0}, + [2660] = {.lex_state = 0}, + [2661] = {.lex_state = 0}, + [2662] = {.lex_state = 0}, + [2663] = {.lex_state = 0}, + [2664] = {.lex_state = 0}, + [2665] = {.lex_state = 0}, + [2666] = {.lex_state = 0}, + [2667] = {.lex_state = 0}, + [2668] = {.lex_state = 0}, + [2669] = {.lex_state = 0}, + [2670] = {.lex_state = 0}, + [2671] = {.lex_state = 0}, + [2672] = {.lex_state = 0}, + [2673] = {.lex_state = 0}, + [2674] = {.lex_state = 0}, + [2675] = {.lex_state = 0}, + [2676] = {.lex_state = 0}, + [2677] = {.lex_state = 0}, + [2678] = {.lex_state = 0}, + [2679] = {.lex_state = 0}, + [2680] = {.lex_state = 0}, + [2681] = {.lex_state = 0}, + [2682] = {.lex_state = 0}, + [2683] = {.lex_state = 0}, + [2684] = {.lex_state = 0}, + [2685] = {.lex_state = 0}, + [2686] = {.lex_state = 0}, + [2687] = {.lex_state = 0}, + [2688] = {.lex_state = 0}, + [2689] = {.lex_state = 0}, + [2690] = {.lex_state = 0}, + [2691] = {.lex_state = 0}, + [2692] = {.lex_state = 0}, + [2693] = {.lex_state = 0}, + [2694] = {.lex_state = 0}, + [2695] = {.lex_state = 0}, + [2696] = {.lex_state = 0}, + [2697] = {.lex_state = 0}, + [2698] = {.lex_state = 0}, + [2699] = {.lex_state = 0}, + [2700] = {.lex_state = 0}, + [2701] = {.lex_state = 0}, + [2702] = {.lex_state = 0}, + [2703] = {.lex_state = 0}, + [2704] = {.lex_state = 0}, + [2705] = {.lex_state = 0}, + [2706] = {.lex_state = 0}, + [2707] = {.lex_state = 0}, + [2708] = {.lex_state = 0}, + [2709] = {.lex_state = 0}, + [2710] = {.lex_state = 0}, + [2711] = {.lex_state = 0}, + [2712] = {.lex_state = 0}, + [2713] = {.lex_state = 0}, + [2714] = {.lex_state = 0}, + [2715] = {.lex_state = 0}, + [2716] = {.lex_state = 0}, + [2717] = {.lex_state = 0}, + [2718] = {.lex_state = 0}, + [2719] = {.lex_state = 0}, + [2720] = {.lex_state = 0}, + [2721] = {.lex_state = 0}, + [2722] = {.lex_state = 0}, + [2723] = {.lex_state = 0}, + [2724] = {.lex_state = 0}, + [2725] = {.lex_state = 0}, + [2726] = {.lex_state = 0}, + [2727] = {.lex_state = 0}, + [2728] = {.lex_state = 0}, + [2729] = {.lex_state = 0}, + [2730] = {.lex_state = 0}, + [2731] = {.lex_state = 0}, + [2732] = {.lex_state = 0}, + [2733] = {.lex_state = 0}, + [2734] = {.lex_state = 0}, + [2735] = {.lex_state = 0}, + [2736] = {.lex_state = 0}, + [2737] = {.lex_state = 0}, + [2738] = {.lex_state = 0}, + [2739] = {.lex_state = 0}, + [2740] = {.lex_state = 0}, + [2741] = {.lex_state = 0}, + [2742] = {.lex_state = 0}, + [2743] = {.lex_state = 0}, + [2744] = {.lex_state = 0}, + [2745] = {.lex_state = 0}, + [2746] = {.lex_state = 0}, + [2747] = {.lex_state = 0}, + [2748] = {.lex_state = 0}, + [2749] = {.lex_state = 0}, + [2750] = {.lex_state = 0}, + [2751] = {.lex_state = 136}, + [2752] = {.lex_state = 0}, + [2753] = {.lex_state = 0}, + [2754] = {.lex_state = 0}, + [2755] = {.lex_state = 0}, + [2756] = {.lex_state = 0}, + [2757] = {.lex_state = 0}, + [2758] = {.lex_state = 0}, + [2759] = {.lex_state = 0}, + [2760] = {.lex_state = 0}, + [2761] = {.lex_state = 0}, + [2762] = {.lex_state = 0}, + [2763] = {.lex_state = 0}, + [2764] = {.lex_state = 0}, + [2765] = {.lex_state = 0}, + [2766] = {.lex_state = 0}, + [2767] = {.lex_state = 0}, + [2768] = {.lex_state = 0}, + [2769] = {.lex_state = 0}, + [2770] = {.lex_state = 0}, + [2771] = {.lex_state = 0}, + [2772] = {.lex_state = 0}, + [2773] = {.lex_state = 0}, + [2774] = {.lex_state = 0}, + [2775] = {.lex_state = 0}, + [2776] = {.lex_state = 0}, + [2777] = {.lex_state = 0}, + [2778] = {.lex_state = 0}, + [2779] = {.lex_state = 0}, + [2780] = {.lex_state = 853}, + [2781] = {.lex_state = 0}, + [2782] = {.lex_state = 0}, + [2783] = {.lex_state = 0}, + [2784] = {.lex_state = 0}, + [2785] = {.lex_state = 853}, + [2786] = {.lex_state = 0}, + [2787] = {.lex_state = 0}, + [2788] = {.lex_state = 0}, + [2789] = {.lex_state = 0}, + [2790] = {.lex_state = 0}, + [2791] = {.lex_state = 0}, + [2792] = {.lex_state = 0}, + [2793] = {.lex_state = 0}, + [2794] = {.lex_state = 0}, + [2795] = {.lex_state = 0}, + [2796] = {.lex_state = 0}, + [2797] = {.lex_state = 0}, + [2798] = {.lex_state = 0}, + [2799] = {.lex_state = 0}, + [2800] = {.lex_state = 0}, + [2801] = {.lex_state = 0}, + [2802] = {.lex_state = 0}, + [2803] = {.lex_state = 0}, + [2804] = {.lex_state = 0}, + [2805] = {.lex_state = 0}, + [2806] = {.lex_state = 0}, + [2807] = {.lex_state = 0}, + [2808] = {.lex_state = 0}, + [2809] = {.lex_state = 0}, + [2810] = {.lex_state = 0}, + [2811] = {.lex_state = 0}, + [2812] = {.lex_state = 0}, + [2813] = {.lex_state = 0}, + [2814] = {.lex_state = 0}, + [2815] = {.lex_state = 0}, + [2816] = {.lex_state = 0}, + [2817] = {.lex_state = 0}, + [2818] = {.lex_state = 0}, + [2819] = {.lex_state = 0}, + [2820] = {.lex_state = 0}, + [2821] = {.lex_state = 0}, + [2822] = {.lex_state = 0}, + [2823] = {.lex_state = 0}, + [2824] = {.lex_state = 0}, + [2825] = {.lex_state = 0}, + [2826] = {.lex_state = 0}, + [2827] = {.lex_state = 0}, + [2828] = {.lex_state = 0}, + [2829] = {.lex_state = 0}, + [2830] = {.lex_state = 0}, + [2831] = {.lex_state = 0}, + [2832] = {.lex_state = 0}, + [2833] = {.lex_state = 0}, + [2834] = {.lex_state = 0}, + [2835] = {.lex_state = 0}, + [2836] = {.lex_state = 0}, + [2837] = {.lex_state = 0}, + [2838] = {.lex_state = 0}, + [2839] = {.lex_state = 0}, + [2840] = {.lex_state = 0}, + [2841] = {.lex_state = 0}, + [2842] = {.lex_state = 0}, + [2843] = {.lex_state = 0}, + [2844] = {.lex_state = 0}, + [2845] = {.lex_state = 0}, + [2846] = {.lex_state = 0}, + [2847] = {.lex_state = 0}, + [2848] = {.lex_state = 0}, + [2849] = {.lex_state = 0}, + [2850] = {.lex_state = 0}, + [2851] = {.lex_state = 0}, + [2852] = {.lex_state = 0}, + [2853] = {.lex_state = 0}, + [2854] = {.lex_state = 0}, + [2855] = {.lex_state = 0}, + [2856] = {.lex_state = 0}, + [2857] = {.lex_state = 0}, + [2858] = {.lex_state = 0}, + [2859] = {.lex_state = 0}, + [2860] = {.lex_state = 0}, + [2861] = {.lex_state = 0}, + [2862] = {.lex_state = 0}, + [2863] = {.lex_state = 0}, + [2864] = {.lex_state = 0}, + [2865] = {.lex_state = 0}, + [2866] = {.lex_state = 0}, + [2867] = {.lex_state = 0}, + [2868] = {.lex_state = 0}, + [2869] = {.lex_state = 0}, + [2870] = {.lex_state = 0}, + [2871] = {.lex_state = 0}, + [2872] = {.lex_state = 0}, + [2873] = {.lex_state = 0}, + [2874] = {.lex_state = 0}, + [2875] = {.lex_state = 0}, + [2876] = {.lex_state = 0}, + [2877] = {.lex_state = 0}, + [2878] = {.lex_state = 0}, + [2879] = {.lex_state = 0}, + [2880] = {.lex_state = 0}, + [2881] = {.lex_state = 0}, + [2882] = {.lex_state = 0}, + [2883] = {.lex_state = 0}, + [2884] = {.lex_state = 862}, + [2885] = {.lex_state = 0}, + [2886] = {.lex_state = 0}, + [2887] = {.lex_state = 1370}, + [2888] = {.lex_state = 1380}, + [2889] = {.lex_state = 0}, + [2890] = {.lex_state = 0}, + [2891] = {.lex_state = 1392}, + [2892] = {.lex_state = 168}, + [2893] = {.lex_state = 0}, + [2894] = {.lex_state = 0}, + [2895] = {.lex_state = 0}, + [2896] = {.lex_state = 0}, + [2897] = {.lex_state = 0}, + [2898] = {.lex_state = 0}, + [2899] = {.lex_state = 0}, + [2900] = {.lex_state = 136}, + [2901] = {.lex_state = 0}, + [2902] = {.lex_state = 0}, + [2903] = {.lex_state = 0}, + [2904] = {.lex_state = 0}, + [2905] = {.lex_state = 0}, + [2906] = {.lex_state = 0}, + [2907] = {.lex_state = 0}, + [2908] = {.lex_state = 0}, + [2909] = {.lex_state = 0}, + [2910] = {.lex_state = 0}, + [2911] = {.lex_state = 0}, + [2912] = {.lex_state = 0}, + [2913] = {.lex_state = 0}, + [2914] = {.lex_state = 0}, + [2915] = {.lex_state = 0}, + [2916] = {.lex_state = 0}, + [2917] = {.lex_state = 0}, + [2918] = {.lex_state = 0}, + [2919] = {.lex_state = 0}, + [2920] = {.lex_state = 0}, + [2921] = {.lex_state = 0}, + [2922] = {.lex_state = 0}, + [2923] = {.lex_state = 0}, + [2924] = {.lex_state = 0}, + [2925] = {.lex_state = 0}, + [2926] = {.lex_state = 0}, + [2927] = {.lex_state = 0}, + [2928] = {.lex_state = 0}, + [2929] = {.lex_state = 0}, + [2930] = {.lex_state = 0}, + [2931] = {.lex_state = 0}, + [2932] = {.lex_state = 0}, + [2933] = {.lex_state = 0}, + [2934] = {.lex_state = 0}, + [2935] = {.lex_state = 0}, + [2936] = {.lex_state = 0}, + [2937] = {.lex_state = 0}, + [2938] = {.lex_state = 0}, + [2939] = {.lex_state = 853}, + [2940] = {.lex_state = 0}, + [2941] = {.lex_state = 0}, + [2942] = {.lex_state = 0}, + [2943] = {.lex_state = 1370}, + [2944] = {.lex_state = 1380}, + [2945] = {.lex_state = 0}, + [2946] = {.lex_state = 0}, + [2947] = {.lex_state = 1392}, + [2948] = {.lex_state = 168}, + [2949] = {.lex_state = 0}, + [2950] = {.lex_state = 168}, + [2951] = {.lex_state = 136}, + [2952] = {.lex_state = 0}, + [2953] = {.lex_state = 0}, + [2954] = {.lex_state = 1392}, + [2955] = {.lex_state = 0}, + [2956] = {.lex_state = 0}, + [2957] = {.lex_state = 0}, + [2958] = {.lex_state = 0}, + [2959] = {.lex_state = 0}, + [2960] = {.lex_state = 0}, + [2961] = {.lex_state = 0}, + [2962] = {.lex_state = 0}, + [2963] = {.lex_state = 0}, + [2964] = {.lex_state = 0}, + [2965] = {.lex_state = 0}, + [2966] = {.lex_state = 0}, + [2967] = {.lex_state = 0}, + [2968] = {.lex_state = 0}, + [2969] = {.lex_state = 0}, + [2970] = {.lex_state = 0}, + [2971] = {.lex_state = 0}, + [2972] = {.lex_state = 0}, + [2973] = {.lex_state = 0}, + [2974] = {.lex_state = 1370}, + [2975] = {.lex_state = 1380}, + [2976] = {.lex_state = 0}, + [2977] = {.lex_state = 0}, + [2978] = {.lex_state = 1392}, + [2979] = {.lex_state = 168}, + [2980] = {.lex_state = 0}, + [2981] = {.lex_state = 0}, + [2982] = {.lex_state = 136}, + [2983] = {.lex_state = 0}, + [2984] = {.lex_state = 0}, + [2985] = {.lex_state = 0}, + [2986] = {.lex_state = 0}, + [2987] = {.lex_state = 0}, + [2988] = {.lex_state = 0}, + [2989] = {.lex_state = 0}, + [2990] = {.lex_state = 0}, + [2991] = {.lex_state = 0}, + [2992] = {.lex_state = 0}, + [2993] = {.lex_state = 0}, + [2994] = {.lex_state = 0}, + [2995] = {.lex_state = 0}, + [2996] = {.lex_state = 0}, + [2997] = {.lex_state = 0}, + [2998] = {.lex_state = 0}, + [2999] = {.lex_state = 0}, + [3000] = {.lex_state = 0}, + [3001] = {.lex_state = 0}, + [3002] = {.lex_state = 0}, + [3003] = {.lex_state = 1370}, + [3004] = {.lex_state = 1380}, + [3005] = {.lex_state = 0}, + [3006] = {.lex_state = 0}, + [3007] = {.lex_state = 1392}, + [3008] = {.lex_state = 168}, + [3009] = {.lex_state = 0}, + [3010] = {.lex_state = 0}, + [3011] = {.lex_state = 136}, + [3012] = {.lex_state = 0}, + [3013] = {.lex_state = 0}, + [3014] = {.lex_state = 0}, + [3015] = {.lex_state = 0}, + [3016] = {.lex_state = 0}, + [3017] = {.lex_state = 0}, + [3018] = {.lex_state = 0}, + [3019] = {.lex_state = 0}, + [3020] = {.lex_state = 0}, + [3021] = {.lex_state = 0}, + [3022] = {.lex_state = 0}, + [3023] = {.lex_state = 0}, + [3024] = {.lex_state = 0}, + [3025] = {.lex_state = 0}, + [3026] = {.lex_state = 0}, + [3027] = {.lex_state = 0}, + [3028] = {.lex_state = 0}, + [3029] = {.lex_state = 0}, + [3030] = {.lex_state = 1370}, + [3031] = {.lex_state = 1380}, + [3032] = {.lex_state = 0}, + [3033] = {.lex_state = 0}, + [3034] = {.lex_state = 1392}, + [3035] = {.lex_state = 168}, + [3036] = {.lex_state = 0}, + [3037] = {.lex_state = 0}, + [3038] = {.lex_state = 136}, + [3039] = {.lex_state = 0}, + [3040] = {.lex_state = 0}, + [3041] = {.lex_state = 862}, + [3042] = {.lex_state = 0}, + [3043] = {.lex_state = 0}, + [3044] = {.lex_state = 0}, + [3045] = {.lex_state = 0}, + [3046] = {.lex_state = 0}, + [3047] = {.lex_state = 0}, + [3048] = {.lex_state = 0}, + [3049] = {.lex_state = 0}, + [3050] = {.lex_state = 0}, + [3051] = {.lex_state = 0}, + [3052] = {.lex_state = 0}, + [3053] = {.lex_state = 0}, + [3054] = {.lex_state = 0}, + [3055] = {.lex_state = 0}, + [3056] = {.lex_state = 0}, + [3057] = {.lex_state = 1370}, + [3058] = {.lex_state = 1380}, + [3059] = {.lex_state = 0}, + [3060] = {.lex_state = 0}, + [3061] = {.lex_state = 1392}, + [3062] = {.lex_state = 168}, + [3063] = {.lex_state = 0}, + [3064] = {.lex_state = 0}, + [3065] = {.lex_state = 136}, + [3066] = {.lex_state = 0}, + [3067] = {.lex_state = 0}, + [3068] = {.lex_state = 0}, + [3069] = {.lex_state = 0}, + [3070] = {.lex_state = 0}, + [3071] = {.lex_state = 0}, + [3072] = {.lex_state = 0}, + [3073] = {.lex_state = 0}, + [3074] = {.lex_state = 0}, + [3075] = {.lex_state = 0}, + [3076] = {.lex_state = 0}, + [3077] = {.lex_state = 0}, + [3078] = {.lex_state = 0}, + [3079] = {.lex_state = 0}, + [3080] = {.lex_state = 0}, + [3081] = {.lex_state = 0}, + [3082] = {.lex_state = 0}, + [3083] = {.lex_state = 0}, + [3084] = {.lex_state = 1370}, + [3085] = {.lex_state = 1380}, + [3086] = {.lex_state = 0}, + [3087] = {.lex_state = 0}, + [3088] = {.lex_state = 1392}, + [3089] = {.lex_state = 168}, + [3090] = {.lex_state = 0}, + [3091] = {.lex_state = 0}, + [3092] = {.lex_state = 136}, + [3093] = {.lex_state = 0}, + [3094] = {.lex_state = 0}, + [3095] = {.lex_state = 0}, + [3096] = {.lex_state = 0}, + [3097] = {.lex_state = 0}, + [3098] = {.lex_state = 0}, + [3099] = {.lex_state = 0}, + [3100] = {.lex_state = 0}, + [3101] = {.lex_state = 0}, + [3102] = {.lex_state = 0}, + [3103] = {.lex_state = 0}, + [3104] = {.lex_state = 0}, + [3105] = {.lex_state = 0}, + [3106] = {.lex_state = 0}, + [3107] = {.lex_state = 0}, + [3108] = {.lex_state = 0}, + [3109] = {.lex_state = 0}, + [3110] = {.lex_state = 0}, + [3111] = {.lex_state = 1370}, + [3112] = {.lex_state = 1380}, + [3113] = {.lex_state = 0}, + [3114] = {.lex_state = 0}, + [3115] = {.lex_state = 1392}, + [3116] = {.lex_state = 168}, + [3117] = {.lex_state = 0}, + [3118] = {.lex_state = 0}, + [3119] = {.lex_state = 136}, + [3120] = {.lex_state = 0}, + [3121] = {.lex_state = 0}, + [3122] = {.lex_state = 0}, + [3123] = {.lex_state = 0}, + [3124] = {.lex_state = 0}, + [3125] = {.lex_state = 0}, + [3126] = {.lex_state = 0}, + [3127] = {.lex_state = 0}, + [3128] = {.lex_state = 0}, + [3129] = {.lex_state = 0}, + [3130] = {.lex_state = 0}, + [3131] = {.lex_state = 0}, + [3132] = {.lex_state = 0}, + [3133] = {.lex_state = 0}, + [3134] = {.lex_state = 0}, + [3135] = {.lex_state = 0}, + [3136] = {.lex_state = 0}, + [3137] = {.lex_state = 0}, + [3138] = {.lex_state = 1370}, + [3139] = {.lex_state = 1380}, + [3140] = {.lex_state = 0}, + [3141] = {.lex_state = 0}, + [3142] = {.lex_state = 1392}, + [3143] = {.lex_state = 168}, + [3144] = {.lex_state = 0}, + [3145] = {.lex_state = 0}, + [3146] = {.lex_state = 136}, + [3147] = {.lex_state = 0}, + [3148] = {.lex_state = 0}, + [3149] = {.lex_state = 0}, + [3150] = {.lex_state = 0}, + [3151] = {.lex_state = 0}, + [3152] = {.lex_state = 0}, + [3153] = {.lex_state = 0}, + [3154] = {.lex_state = 0}, + [3155] = {.lex_state = 0}, + [3156] = {.lex_state = 0}, + [3157] = {.lex_state = 0}, + [3158] = {.lex_state = 0}, + [3159] = {.lex_state = 0}, + [3160] = {.lex_state = 0}, + [3161] = {.lex_state = 0}, + [3162] = {.lex_state = 0}, + [3163] = {.lex_state = 0}, + [3164] = {.lex_state = 0}, + [3165] = {.lex_state = 1370}, + [3166] = {.lex_state = 1380}, + [3167] = {.lex_state = 0}, + [3168] = {.lex_state = 0}, + [3169] = {.lex_state = 1392}, + [3170] = {.lex_state = 168}, + [3171] = {.lex_state = 0}, + [3172] = {.lex_state = 1380}, + [3173] = {.lex_state = 136}, + [3174] = {.lex_state = 0}, + [3175] = {.lex_state = 1370}, + [3176] = {.lex_state = 0}, + [3177] = {.lex_state = 0}, + [3178] = {.lex_state = 0}, + [3179] = {.lex_state = 0}, + [3180] = {.lex_state = 0}, + [3181] = {.lex_state = 0}, + [3182] = {.lex_state = 0}, + [3183] = {.lex_state = 0}, + [3184] = {.lex_state = 0}, + [3185] = {.lex_state = 0}, + [3186] = {.lex_state = 0}, + [3187] = {.lex_state = 0}, + [3188] = {.lex_state = 0}, + [3189] = {.lex_state = 0}, + [3190] = {.lex_state = 0}, + [3191] = {.lex_state = 0}, + [3192] = {.lex_state = 1370}, + [3193] = {.lex_state = 1380}, + [3194] = {.lex_state = 0}, + [3195] = {.lex_state = 0}, + [3196] = {.lex_state = 1392}, + [3197] = {.lex_state = 168}, + [3198] = {.lex_state = 862}, + [3199] = {.lex_state = 0}, + [3200] = {.lex_state = 136}, + [3201] = {.lex_state = 0}, + [3202] = {.lex_state = 0}, + [3203] = {.lex_state = 0}, + [3204] = {.lex_state = 0}, + [3205] = {.lex_state = 0}, + [3206] = {.lex_state = 0}, + [3207] = {.lex_state = 0}, + [3208] = {.lex_state = 0}, + [3209] = {.lex_state = 0}, + [3210] = {.lex_state = 0}, + [3211] = {.lex_state = 0}, + [3212] = {.lex_state = 0}, + [3213] = {.lex_state = 0}, + [3214] = {.lex_state = 0}, + [3215] = {.lex_state = 0}, + [3216] = {.lex_state = 0}, + [3217] = {.lex_state = 0}, + [3218] = {.lex_state = 0}, + [3219] = {.lex_state = 1370}, + [3220] = {.lex_state = 1380}, + [3221] = {.lex_state = 0}, + [3222] = {.lex_state = 0}, + [3223] = {.lex_state = 1392}, + [3224] = {.lex_state = 168}, + [3225] = {.lex_state = 0}, + [3226] = {.lex_state = 0}, + [3227] = {.lex_state = 136}, + [3228] = {.lex_state = 0}, + [3229] = {.lex_state = 0}, + [3230] = {.lex_state = 0}, + [3231] = {.lex_state = 0}, + [3232] = {.lex_state = 0}, + [3233] = {.lex_state = 0}, + [3234] = {.lex_state = 0}, + [3235] = {.lex_state = 0}, + [3236] = {.lex_state = 0}, + [3237] = {.lex_state = 0}, + [3238] = {.lex_state = 0}, + [3239] = {.lex_state = 0}, + [3240] = {.lex_state = 0}, + [3241] = {.lex_state = 0}, + [3242] = {.lex_state = 0}, + [3243] = {.lex_state = 0}, + [3244] = {.lex_state = 0}, + [3245] = {.lex_state = 0}, + [3246] = {.lex_state = 1370}, + [3247] = {.lex_state = 1380}, + [3248] = {.lex_state = 0}, + [3249] = {.lex_state = 0}, + [3250] = {.lex_state = 1392}, + [3251] = {.lex_state = 168}, + [3252] = {.lex_state = 0}, + [3253] = {.lex_state = 0}, + [3254] = {.lex_state = 136}, + [3255] = {.lex_state = 0}, + [3256] = {.lex_state = 0}, + [3257] = {.lex_state = 862}, + [3258] = {.lex_state = 0}, + [3259] = {.lex_state = 0}, + [3260] = {.lex_state = 0}, + [3261] = {.lex_state = 0}, + [3262] = {.lex_state = 0}, + [3263] = {.lex_state = 0}, + [3264] = {.lex_state = 0}, + [3265] = {.lex_state = 0}, + [3266] = {.lex_state = 0}, + [3267] = {.lex_state = 0}, + [3268] = {.lex_state = 0}, + [3269] = {.lex_state = 0}, + [3270] = {.lex_state = 0}, + [3271] = {.lex_state = 0}, + [3272] = {.lex_state = 0}, + [3273] = {.lex_state = 1370}, + [3274] = {.lex_state = 1380}, + [3275] = {.lex_state = 0}, + [3276] = {.lex_state = 0}, + [3277] = {.lex_state = 1392}, + [3278] = {.lex_state = 168}, + [3279] = {.lex_state = 0}, + [3280] = {.lex_state = 0}, + [3281] = {.lex_state = 136}, + [3282] = {.lex_state = 0}, + [3283] = {.lex_state = 932}, + [3284] = {.lex_state = 0}, + [3285] = {.lex_state = 0}, + [3286] = {.lex_state = 0}, + [3287] = {.lex_state = 0}, + [3288] = {.lex_state = 0}, + [3289] = {.lex_state = 0}, + [3290] = {.lex_state = 0}, + [3291] = {.lex_state = 0}, + [3292] = {.lex_state = 0}, + [3293] = {.lex_state = 0}, + [3294] = {.lex_state = 0}, + [3295] = {.lex_state = 0}, + [3296] = {.lex_state = 0}, + [3297] = {.lex_state = 0}, + [3298] = {.lex_state = 0}, + [3299] = {.lex_state = 0}, + [3300] = {.lex_state = 1370}, + [3301] = {.lex_state = 1380}, + [3302] = {.lex_state = 0}, + [3303] = {.lex_state = 0}, + [3304] = {.lex_state = 1392}, + [3305] = {.lex_state = 168}, + [3306] = {.lex_state = 0}, + [3307] = {.lex_state = 0}, + [3308] = {.lex_state = 136}, + [3309] = {.lex_state = 0}, + [3310] = {.lex_state = 862}, + [3311] = {.lex_state = 0}, + [3312] = {.lex_state = 0}, + [3313] = {.lex_state = 0}, + [3314] = {.lex_state = 0}, + [3315] = {.lex_state = 0}, + [3316] = {.lex_state = 0}, + [3317] = {.lex_state = 0}, + [3318] = {.lex_state = 0}, + [3319] = {.lex_state = 0}, + [3320] = {.lex_state = 0}, + [3321] = {.lex_state = 0}, + [3322] = {.lex_state = 0}, + [3323] = {.lex_state = 0}, + [3324] = {.lex_state = 0}, + [3325] = {.lex_state = 0}, + [3326] = {.lex_state = 0}, + [3327] = {.lex_state = 1370}, + [3328] = {.lex_state = 1380}, + [3329] = {.lex_state = 0}, + [3330] = {.lex_state = 0}, + [3331] = {.lex_state = 0}, + [3332] = {.lex_state = 0}, + [3333] = {.lex_state = 0}, + [3334] = {.lex_state = 0}, + [3335] = {.lex_state = 0}, + [3336] = {.lex_state = 0}, + [3337] = {.lex_state = 0}, + [3338] = {.lex_state = 0}, + [3339] = {.lex_state = 0}, + [3340] = {.lex_state = 0}, + [3341] = {.lex_state = 0}, + [3342] = {.lex_state = 0}, + [3343] = {.lex_state = 0}, + [3344] = {.lex_state = 1370}, + [3345] = {.lex_state = 1380}, + [3346] = {.lex_state = 1370}, + [3347] = {.lex_state = 1380}, + [3348] = {.lex_state = 1370}, + [3349] = {.lex_state = 1380}, + [3350] = {.lex_state = 1370}, + [3351] = {.lex_state = 1380}, + [3352] = {.lex_state = 1370}, + [3353] = {.lex_state = 1380}, + [3354] = {.lex_state = 1370}, + [3355] = {.lex_state = 1380}, + [3356] = {.lex_state = 1370}, + [3357] = {.lex_state = 1380}, + [3358] = {.lex_state = 1370}, + [3359] = {.lex_state = 1380}, + [3360] = {.lex_state = 1370}, + [3361] = {.lex_state = 1380}, + [3362] = {.lex_state = 1370}, + [3363] = {.lex_state = 1380}, + [3364] = {.lex_state = 1370}, + [3365] = {.lex_state = 1380}, + [3366] = {.lex_state = 1370}, + [3367] = {.lex_state = 1380}, + [3368] = {.lex_state = 1370}, + [3369] = {.lex_state = 1380}, + [3370] = {.lex_state = 1370}, + [3371] = {.lex_state = 1380}, + [3372] = {.lex_state = 1370}, + [3373] = {.lex_state = 1380}, + [3374] = {.lex_state = 1370}, + [3375] = {.lex_state = 1380}, + [3376] = {.lex_state = 1370}, + [3377] = {.lex_state = 1380}, + [3378] = {.lex_state = 0}, + [3379] = {.lex_state = 0}, + [3380] = {.lex_state = 0}, + [3381] = {.lex_state = 0}, + [3382] = {.lex_state = 0}, + [3383] = {.lex_state = 0}, + [3384] = {.lex_state = 0}, + [3385] = {.lex_state = 0}, + [3386] = {.lex_state = 0}, + [3387] = {.lex_state = 0}, + [3388] = {.lex_state = 0}, + [3389] = {.lex_state = 0}, + [3390] = {.lex_state = 0}, + [3391] = {.lex_state = 0}, + [3392] = {.lex_state = 0}, + [3393] = {.lex_state = 0}, + [3394] = {.lex_state = 0}, + [3395] = {.lex_state = 0}, + [3396] = {.lex_state = 0}, + [3397] = {.lex_state = 0}, + [3398] = {.lex_state = 0}, + [3399] = {.lex_state = 0}, + [3400] = {.lex_state = 0}, }; static const uint16_t ts_parse_table[LARGE_STATE_COUNT][SYMBOL_COUNT] = { @@ -13401,6 +17990,15 @@ static const uint16_t ts_parse_table[LARGE_STATE_COUNT][SYMBOL_COUNT] = { [aux_sym_begin_statement_token3] = ACTIONS(1), [aux_sym_commit_statement_token1] = ACTIONS(1), [aux_sym_rollback_statement_token1] = ACTIONS(1), + [aux_sym_with_clause_token1] = ACTIONS(1), + [aux_sym_with_clause_token2] = ACTIONS(1), + [anon_sym_COMMA] = ACTIONS(1), + [aux_sym_cte_token1] = ACTIONS(1), + [aux_sym_cte_token2] = ACTIONS(1), + [aux_sym_cte_token3] = ACTIONS(1), + [anon_sym_LPAREN] = ACTIONS(1), + [anon_sym_RPAREN] = ACTIONS(1), + [aux_sym_insert_statement_token1] = ACTIONS(1), [aux_sym_create_statement_token1] = ACTIONS(1), [aux_sym_create_statement_token2] = ACTIONS(1), [aux_sym_create_statement_token3] = ACTIONS(1), @@ -13412,17 +18010,16 @@ static const uint16_t ts_parse_table[LARGE_STATE_COUNT][SYMBOL_COUNT] = { [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(1), [aux_sym_alter_table_action_alter_column_token3] = ACTIONS(1), [aux_sym_alter_table_action_add_token1] = ACTIONS(1), + [aux_sym_alter_table_rename_column_token1] = ACTIONS(1), + [aux_sym_alter_table_rename_column_token2] = ACTIONS(1), [aux_sym_sequence_token1] = ACTIONS(1), [aux_sym_sequence_token2] = ACTIONS(1), - [aux_sym_sequence_token3] = ACTIONS(1), [aux_sym_sequence_token4] = ACTIONS(1), [aux_sym_sequence_token5] = ACTIONS(1), + [aux_sym_sequence_token6] = ACTIONS(1), [aux_sym_sequence_token7] = ACTIONS(1), [aux_sym_sequence_token8] = ACTIONS(1), [aux_sym_sequence_token9] = ACTIONS(1), - [aux_sym_sequence_token10] = ACTIONS(1), - [aux_sym_sequence_token11] = ACTIONS(1), - [aux_sym_sequence_token12] = ACTIONS(1), [aux_sym_pg_command_token1] = ACTIONS(1), [aux_sym_create_function_statement_token2] = ACTIONS(1), [aux_sym_create_function_statement_token3] = ACTIONS(1), @@ -13443,9 +18040,6 @@ static const uint16_t ts_parse_table[LARGE_STATE_COUNT][SYMBOL_COUNT] = { [aux_sym_create_function_parameter_token3] = ACTIONS(1), [aux_sym_create_function_parameter_token4] = ACTIONS(1), [anon_sym_EQ] = ACTIONS(1), - [anon_sym_LPAREN] = ACTIONS(1), - [anon_sym_COMMA] = ACTIONS(1), - [anon_sym_RPAREN] = ACTIONS(1), [aux_sym_create_extension_statement_token1] = ACTIONS(1), [aux_sym_create_role_statement_token1] = ACTIONS(1), [aux_sym_create_schema_statement_token1] = ACTIONS(1), @@ -13455,7 +18049,6 @@ static const uint16_t ts_parse_table[LARGE_STATE_COUNT][SYMBOL_COUNT] = { [anon_sym_EXTENSION] = ACTIONS(1), [aux_sym_set_statement_token1] = ACTIONS(1), [aux_sym_set_statement_token2] = ACTIONS(1), - [aux_sym_set_statement_token3] = ACTIONS(1), [aux_sym_grant_statement_token1] = ACTIONS(1), [aux_sym_grant_statement_token2] = ACTIONS(1), [aux_sym_grant_statement_token3] = ACTIONS(1), @@ -13470,14 +18063,10 @@ static const uint16_t ts_parse_table[LARGE_STATE_COUNT][SYMBOL_COUNT] = { [aux_sym_grant_statement_token12] = ACTIONS(1), [aux_sym_grant_statement_token13] = ACTIONS(1), [aux_sym_grant_statement_token14] = ACTIONS(1), - [aux_sym_grant_statement_token15] = ACTIONS(1), [aux_sym_create_domain_statement_token1] = ACTIONS(1), [aux_sym_create_type_statement_token1] = ACTIONS(1), [aux_sym_auto_increment_constraint_token1] = ACTIONS(1), [aux_sym_direction_constraint_token2] = ACTIONS(1), - [aux_sym_time_zone_constraint_token1] = ACTIONS(1), - [aux_sym_time_zone_constraint_token2] = ACTIONS(1), - [aux_sym_time_zone_constraint_token3] = ACTIONS(1), [anon_sym_CONSTRAINT] = ACTIONS(1), [aux_sym_mode_token1] = ACTIONS(1), [aux_sym_initial_mode_token1] = ACTIONS(1), @@ -13492,6 +18081,8 @@ static const uint16_t ts_parse_table[LARGE_STATE_COUNT][SYMBOL_COUNT] = { [aux_sym_table_constraint_unique_token1] = ACTIONS(1), [aux_sym_table_constraint_primary_key_token1] = ACTIONS(1), [aux_sym_order_by_clause_token1] = ACTIONS(1), + [aux_sym_limit_clause_token1] = ACTIONS(1), + [aux_sym_limit_clause_token2] = ACTIONS(1), [aux_sym_where_clause_token1] = ACTIONS(1), [aux_sym_from_clause_token1] = ACTIONS(1), [aux_sym_join_type_token1] = ACTIONS(1), @@ -13499,13 +18090,22 @@ static const uint16_t ts_parse_table[LARGE_STATE_COUNT][SYMBOL_COUNT] = { [aux_sym_join_type_token3] = ACTIONS(1), [aux_sym_join_type_token4] = ACTIONS(1), [aux_sym_join_clause_token1] = ACTIONS(1), + [aux_sym_select_subexpression_token1] = ACTIONS(1), [aux_sym_values_clause_token1] = ACTIONS(1), + [aux_sym_conditional_expression_token1] = ACTIONS(1), + [aux_sym_conditional_expression_token2] = ACTIONS(1), + [aux_sym_conditional_expression_token3] = ACTIONS(1), + [aux_sym_conditional_expression_token4] = ACTIONS(1), + [aux_sym_conditional_expression_token5] = ACTIONS(1), [aux_sym__constraint_action_token1] = ACTIONS(1), [aux_sym__constraint_action_token2] = ACTIONS(1), [aux_sym_is_expression_token1] = ACTIONS(1), [aux_sym_distinct_from_token1] = ACTIONS(1), [aux_sym_boolean_expression_token1] = ACTIONS(1), [aux_sym_boolean_expression_token2] = ACTIONS(1), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(1), + [aux_sym_at_time_zone_expression_token2] = ACTIONS(1), + [aux_sym_at_time_zone_expression_token3] = ACTIONS(1), [aux_sym_TRUE_token1] = ACTIONS(1), [aux_sym_FALSE_token1] = ACTIONS(1), [aux_sym_number_token1] = ACTIONS(1), @@ -13514,7 +18114,13 @@ static const uint16_t ts_parse_table[LARGE_STATE_COUNT][SYMBOL_COUNT] = { [anon_sym_DOT] = ACTIONS(1), [anon_sym_SQUOTE] = ACTIONS(1), [anon_sym_DOLLAR_DOLLAR] = ACTIONS(1), + [anon_sym_DASH_GT] = ACTIONS(1), [anon_sym_DASH_GT_GT] = ACTIONS(1), + [anon_sym_POUND_GT] = ACTIONS(1), + [anon_sym_POUND_GT_GT] = ACTIONS(1), + [aux_sym_type_token1] = ACTIONS(1), + [aux_sym_type_token2] = ACTIONS(1), + [aux_sym_type_token3] = ACTIONS(1), [anon_sym_LBRACK] = ACTIONS(1), [anon_sym_RBRACK] = ACTIONS(1), [anon_sym_COLON_COLON] = ACTIONS(1), @@ -13546,174 +18152,6038 @@ static const uint16_t ts_parse_table[LARGE_STATE_COUNT][SYMBOL_COUNT] = { [anon_sym_DOLLAR] = ACTIONS(1), }, [1] = { - [sym_source_file] = STATE(1838), - [sym__statement] = STATE(690), - [sym_begin_statement] = STATE(1104), - [sym_commit_statement] = STATE(1104), - [sym_rollback_statement] = STATE(1104), - [sym_create_statement] = STATE(1104), - [sym_alter_statement] = STATE(1104), - [sym_pg_command] = STATE(1104), - [sym_create_function_statement] = STATE(1104), - [sym_create_extension_statement] = STATE(1104), - [sym_create_role_statement] = STATE(1104), - [sym_create_schema_statement] = STATE(1104), - [sym_drop_statement] = STATE(1104), - [sym_set_statement] = STATE(1104), - [sym_grant_statement] = STATE(1104), - [sym_create_domain_statement] = STATE(1104), - [sym_create_type_statement] = STATE(1104), - [sym_create_index_statement] = STATE(1104), - [sym_create_table_statement] = STATE(1104), - [sym_select_statement] = STATE(1104), - [sym_select_clause] = STATE(857), - [sym_update_statement] = STATE(1104), - [sym_insert_statement] = STATE(1104), - [aux_sym_source_file_repeat1] = STATE(690), + [sym_source_file] = STATE(3253), + [sym__statement] = STATE(1109), + [sym_begin_statement] = STATE(1901), + [sym_commit_statement] = STATE(1901), + [sym_rollback_statement] = STATE(1901), + [sym_with_clause] = STATE(2038), + [sym_select_statement] = STATE(1901), + [sym_insert_statement] = STATE(1901), + [sym_update_statement] = STATE(1901), + [sym_delete_statement] = STATE(1901), + [sym_create_statement] = STATE(1901), + [sym_alter_statement] = STATE(1901), + [sym_pg_command] = STATE(1901), + [sym_create_function_statement] = STATE(1901), + [sym_create_extension_statement] = STATE(1901), + [sym_create_role_statement] = STATE(1901), + [sym_create_schema_statement] = STATE(1901), + [sym_drop_statement] = STATE(1901), + [sym_set_statement] = STATE(1901), + [sym_grant_statement] = STATE(1901), + [sym_create_domain_statement] = STATE(1901), + [sym_create_type_statement] = STATE(1901), + [sym_create_index_statement] = STATE(1901), + [sym_create_table_statement] = STATE(1901), + [sym__select_statement] = STATE(1823), + [sym_select_clause] = STATE(1592), + [sym__update_statement] = STATE(1826), + [sym__delete_statement] = STATE(1828), + [aux_sym_source_file_repeat1] = STATE(1109), [ts_builtin_sym_end] = ACTIONS(5), [aux_sym_begin_statement_token1] = ACTIONS(7), [aux_sym_commit_statement_token1] = ACTIONS(9), [aux_sym_rollback_statement_token1] = ACTIONS(11), - [aux_sym_create_statement_token1] = ACTIONS(13), - [aux_sym_alter_statement_token1] = ACTIONS(15), - [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(17), - [aux_sym_pg_command_token1] = ACTIONS(19), - [aux_sym_drop_statement_token1] = ACTIONS(21), - [aux_sym_grant_statement_token1] = ACTIONS(23), - [aux_sym_grant_statement_token4] = ACTIONS(25), - [aux_sym_grant_statement_token5] = ACTIONS(27), - [aux_sym_grant_statement_token6] = ACTIONS(29), + [aux_sym_with_clause_token1] = ACTIONS(13), + [aux_sym_insert_statement_token1] = ACTIONS(15), + [aux_sym_create_statement_token1] = ACTIONS(17), + [aux_sym_alter_statement_token1] = ACTIONS(19), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(21), + [aux_sym_pg_command_token1] = ACTIONS(23), + [aux_sym_drop_statement_token1] = ACTIONS(25), + [aux_sym_grant_statement_token1] = ACTIONS(27), + [aux_sym_grant_statement_token4] = ACTIONS(29), + [aux_sym_grant_statement_token5] = ACTIONS(31), + [aux_sym_grant_statement_token6] = ACTIONS(33), [sym_comment] = ACTIONS(3), }, [2] = { - [sym__aliased_expression] = STATE(961), - [sym__aliasable_expression] = STATE(961), - [sym_select_clause_body] = STATE(966), - [sym_select_subexpression] = STATE(110), - [sym_in_expression] = STATE(110), - [sym_function_call] = STATE(66), - [sym__parenthesized_expression] = STATE(66), - [sym_is_expression] = STATE(110), - [sym_boolean_expression] = STATE(110), - [sym_NULL] = STATE(110), - [sym_TRUE] = STATE(110), - [sym_FALSE] = STATE(110), - [sym_number] = STATE(110), - [sym__quoted_identifier] = STATE(14), - [sym_identifier] = STATE(8), - [sym_dotted_name] = STATE(67), - [sym__identifier] = STATE(67), - [sym_string] = STATE(66), - [sym_field_access] = STATE(110), - [sym_type_cast] = STATE(110), - [sym_array_element_access] = STATE(110), - [sym_unary_expression] = STATE(110), - [sym_binary_expression] = STATE(110), - [sym_asterisk_expression] = STATE(110), - [sym_interval_expression] = STATE(110), - [sym_argument_reference] = STATE(68), - [sym__expression] = STATE(43), - [ts_builtin_sym_end] = ACTIONS(31), - [anon_sym_SEMI] = ACTIONS(31), - [aux_sym_begin_statement_token1] = ACTIONS(33), - [aux_sym_commit_statement_token1] = ACTIONS(33), - [aux_sym_rollback_statement_token1] = ACTIONS(33), - [aux_sym_create_statement_token1] = ACTIONS(33), - [aux_sym_alter_statement_token1] = ACTIONS(33), - [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(33), - [aux_sym_sequence_token2] = ACTIONS(35), - [aux_sym_pg_command_token1] = ACTIONS(31), - [aux_sym_null_hint_token3] = ACTIONS(37), - [anon_sym_LPAREN] = ACTIONS(39), - [aux_sym_drop_statement_token1] = ACTIONS(33), - [aux_sym_grant_statement_token1] = ACTIONS(33), - [aux_sym_grant_statement_token4] = ACTIONS(33), - [aux_sym_grant_statement_token5] = ACTIONS(33), - [aux_sym_grant_statement_token6] = ACTIONS(33), - [aux_sym_grant_statement_token13] = ACTIONS(33), - [aux_sym_order_by_clause_token1] = ACTIONS(33), - [aux_sym_where_clause_token1] = ACTIONS(33), - [aux_sym_from_clause_token1] = ACTIONS(33), - [aux_sym_join_type_token1] = ACTIONS(33), - [aux_sym_join_type_token2] = ACTIONS(33), - [aux_sym_join_type_token3] = ACTIONS(33), - [aux_sym_join_type_token4] = ACTIONS(33), - [aux_sym_join_clause_token1] = ACTIONS(33), - [aux_sym_TRUE_token1] = ACTIONS(41), - [aux_sym_FALSE_token1] = ACTIONS(43), - [aux_sym_number_token1] = ACTIONS(45), - [sym__unquoted_identifier] = ACTIONS(47), - [anon_sym_BQUOTE] = ACTIONS(49), - [anon_sym_DQUOTE] = ACTIONS(51), - [anon_sym_SQUOTE] = ACTIONS(53), - [anon_sym_DOLLAR_DOLLAR] = ACTIONS(55), + [sym__aliased_expression] = STATE(1681), + [sym__aliasable_expression] = STATE(1681), + [sym_select_clause_body] = STATE(1693), + [sym_select_subexpression] = STATE(202), + [sym_conditional_expression] = STATE(202), + [sym_in_expression] = STATE(202), + [sym_function_call] = STATE(99), + [sym__parenthesized_expression] = STATE(99), + [sym_is_expression] = STATE(202), + [sym_boolean_expression] = STATE(202), + [sym_at_time_zone_expression] = STATE(202), + [sym_NULL] = STATE(202), + [sym_TRUE] = STATE(202), + [sym_FALSE] = STATE(202), + [sym_number] = STATE(202), + [sym__quoted_identifier] = STATE(33), + [sym_identifier] = STATE(14), + [sym_dotted_name] = STATE(78), + [sym__identifier] = STATE(78), + [sym_string] = STATE(99), + [sym_json_access] = STATE(202), + [sym_type_cast] = STATE(202), + [sym_array_element_access] = STATE(202), + [sym_unary_expression] = STATE(202), + [sym_binary_expression] = STATE(202), + [sym_asterisk_expression] = STATE(202), + [sym_interval_expression] = STATE(202), + [sym_argument_reference] = STATE(100), + [sym__expression] = STATE(80), + [ts_builtin_sym_end] = ACTIONS(35), + [anon_sym_SEMI] = ACTIONS(35), + [aux_sym_begin_statement_token1] = ACTIONS(37), + [aux_sym_commit_statement_token1] = ACTIONS(37), + [aux_sym_rollback_statement_token1] = ACTIONS(37), + [aux_sym_with_clause_token1] = ACTIONS(37), + [aux_sym_cte_token2] = ACTIONS(39), + [anon_sym_LPAREN] = ACTIONS(41), + [aux_sym_insert_statement_token1] = ACTIONS(37), + [aux_sym_create_statement_token1] = ACTIONS(37), + [aux_sym_alter_statement_token1] = ACTIONS(37), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(37), + [aux_sym_pg_command_token1] = ACTIONS(35), + [aux_sym_null_hint_token3] = ACTIONS(43), + [aux_sym_drop_statement_token1] = ACTIONS(37), + [aux_sym_grant_statement_token1] = ACTIONS(37), + [aux_sym_grant_statement_token4] = ACTIONS(37), + [aux_sym_grant_statement_token5] = ACTIONS(37), + [aux_sym_grant_statement_token6] = ACTIONS(37), + [aux_sym_grant_statement_token12] = ACTIONS(37), + [aux_sym_order_by_clause_token1] = ACTIONS(37), + [aux_sym_limit_clause_token1] = ACTIONS(37), + [aux_sym_where_clause_token1] = ACTIONS(37), + [aux_sym_from_clause_token1] = ACTIONS(37), + [aux_sym_join_type_token1] = ACTIONS(37), + [aux_sym_join_type_token2] = ACTIONS(37), + [aux_sym_join_type_token3] = ACTIONS(37), + [aux_sym_join_type_token4] = ACTIONS(37), + [aux_sym_join_clause_token1] = ACTIONS(37), + [aux_sym_select_subexpression_token1] = ACTIONS(45), + [aux_sym_conditional_expression_token1] = ACTIONS(47), + [aux_sym_TRUE_token1] = ACTIONS(49), + [aux_sym_FALSE_token1] = ACTIONS(51), + [aux_sym_number_token1] = ACTIONS(53), + [sym__unquoted_identifier] = ACTIONS(55), + [anon_sym_BQUOTE] = ACTIONS(57), + [anon_sym_DQUOTE] = ACTIONS(59), + [anon_sym_SQUOTE] = ACTIONS(61), + [anon_sym_DOLLAR_DOLLAR] = ACTIONS(63), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(65), + [anon_sym_DASH] = ACTIONS(67), + [anon_sym_BANG_BANG] = ACTIONS(65), + [anon_sym_TILDE] = ACTIONS(65), + [anon_sym_AT] = ACTIONS(65), + [anon_sym_PIPE_SLASH] = ACTIONS(65), + [anon_sym_PIPE_PIPE_SLASH] = ACTIONS(65), + [anon_sym_STAR] = ACTIONS(69), + [aux_sym_interval_expression_token1] = ACTIONS(71), + [anon_sym_DOLLAR] = ACTIONS(73), + }, + [3] = { + [aux_sym_array_type_repeat1] = STATE(4), + [ts_builtin_sym_end] = ACTIONS(75), + [anon_sym_SEMI] = ACTIONS(75), + [aux_sym_begin_statement_token1] = ACTIONS(75), + [aux_sym_commit_statement_token1] = ACTIONS(75), + [aux_sym_rollback_statement_token1] = ACTIONS(75), + [aux_sym_with_clause_token1] = ACTIONS(75), + [aux_sym_cte_token1] = ACTIONS(75), + [aux_sym_cte_token2] = ACTIONS(75), + [aux_sym_insert_statement_token1] = ACTIONS(75), + [aux_sym_create_statement_token1] = ACTIONS(75), + [aux_sym_alter_statement_token1] = ACTIONS(75), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(75), + [aux_sym_pg_command_token1] = ACTIONS(75), + [aux_sym_create_function_statement_token3] = ACTIONS(75), + [aux_sym_optimizer_hint_token1] = ACTIONS(75), + [aux_sym_optimizer_hint_token2] = ACTIONS(75), + [aux_sym_optimizer_hint_token3] = ACTIONS(75), + [aux_sym_parallel_hint_token1] = ACTIONS(75), + [aux_sym_parallel_hint_token2] = ACTIONS(75), + [aux_sym_parallel_hint_token3] = ACTIONS(75), + [aux_sym_parallel_hint_token4] = ACTIONS(75), + [aux_sym_null_hint_token1] = ACTIONS(75), + [aux_sym_null_hint_token3] = ACTIONS(75), + [aux_sym_null_hint_token5] = ACTIONS(75), + [aux_sym__function_language_token1] = ACTIONS(75), + [aux_sym_create_function_parameter_token1] = ACTIONS(77), + [anon_sym_EQ] = ACTIONS(75), + [aux_sym_drop_statement_token1] = ACTIONS(75), + [aux_sym_grant_statement_token1] = ACTIONS(75), + [aux_sym_grant_statement_token4] = ACTIONS(75), + [aux_sym_grant_statement_token5] = ACTIONS(75), + [aux_sym_grant_statement_token6] = ACTIONS(75), + [aux_sym_grant_statement_token12] = ACTIONS(75), + [aux_sym_order_by_clause_token1] = ACTIONS(75), + [aux_sym_limit_clause_token1] = ACTIONS(75), + [aux_sym_where_clause_token1] = ACTIONS(75), + [aux_sym_join_type_token1] = ACTIONS(75), + [aux_sym_join_type_token2] = ACTIONS(75), + [aux_sym_join_type_token3] = ACTIONS(75), + [aux_sym_join_type_token4] = ACTIONS(75), + [aux_sym_join_clause_token1] = ACTIONS(75), + [aux_sym_is_expression_token1] = ACTIONS(75), + [aux_sym_boolean_expression_token1] = ACTIONS(75), + [aux_sym_boolean_expression_token2] = ACTIONS(77), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(75), + [anon_sym_DASH_GT] = ACTIONS(77), + [anon_sym_DASH_GT_GT] = ACTIONS(75), + [anon_sym_POUND_GT] = ACTIONS(77), + [anon_sym_POUND_GT_GT] = ACTIONS(75), + [anon_sym_LBRACK] = ACTIONS(79), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(75), + [anon_sym_DASH] = ACTIONS(77), + [anon_sym_TILDE] = ACTIONS(77), + [anon_sym_CARET] = ACTIONS(75), + [anon_sym_STAR] = ACTIONS(75), + [anon_sym_SLASH] = ACTIONS(77), + [anon_sym_PERCENT] = ACTIONS(75), + [anon_sym_LT_LT] = ACTIONS(75), + [anon_sym_GT_GT] = ACTIONS(75), + [anon_sym_AMP] = ACTIONS(75), + [anon_sym_PIPE] = ACTIONS(75), + [anon_sym_POUND] = ACTIONS(77), + [anon_sym_LT] = ACTIONS(77), + [anon_sym_LT_EQ] = ACTIONS(75), + [anon_sym_LT_GT] = ACTIONS(75), + [anon_sym_GT] = ACTIONS(77), + [anon_sym_GT_EQ] = ACTIONS(75), + [anon_sym_BANG_TILDE] = ACTIONS(77), + [anon_sym_TILDE_STAR] = ACTIONS(75), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(75), + }, + [4] = { + [aux_sym_array_type_repeat1] = STATE(4), + [ts_builtin_sym_end] = ACTIONS(81), + [anon_sym_SEMI] = ACTIONS(81), + [aux_sym_begin_statement_token1] = ACTIONS(81), + [aux_sym_commit_statement_token1] = ACTIONS(81), + [aux_sym_rollback_statement_token1] = ACTIONS(81), + [aux_sym_with_clause_token1] = ACTIONS(81), + [aux_sym_cte_token1] = ACTIONS(81), + [aux_sym_cte_token2] = ACTIONS(81), + [aux_sym_insert_statement_token1] = ACTIONS(81), + [aux_sym_create_statement_token1] = ACTIONS(81), + [aux_sym_alter_statement_token1] = ACTIONS(81), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(81), + [aux_sym_pg_command_token1] = ACTIONS(81), + [aux_sym_create_function_statement_token3] = ACTIONS(81), + [aux_sym_optimizer_hint_token1] = ACTIONS(81), + [aux_sym_optimizer_hint_token2] = ACTIONS(81), + [aux_sym_optimizer_hint_token3] = ACTIONS(81), + [aux_sym_parallel_hint_token1] = ACTIONS(81), + [aux_sym_parallel_hint_token2] = ACTIONS(81), + [aux_sym_parallel_hint_token3] = ACTIONS(81), + [aux_sym_parallel_hint_token4] = ACTIONS(81), + [aux_sym_null_hint_token1] = ACTIONS(81), + [aux_sym_null_hint_token3] = ACTIONS(81), + [aux_sym_null_hint_token5] = ACTIONS(81), + [aux_sym__function_language_token1] = ACTIONS(81), + [aux_sym_create_function_parameter_token1] = ACTIONS(83), + [anon_sym_EQ] = ACTIONS(81), + [aux_sym_drop_statement_token1] = ACTIONS(81), + [aux_sym_grant_statement_token1] = ACTIONS(81), + [aux_sym_grant_statement_token4] = ACTIONS(81), + [aux_sym_grant_statement_token5] = ACTIONS(81), + [aux_sym_grant_statement_token6] = ACTIONS(81), + [aux_sym_grant_statement_token12] = ACTIONS(81), + [aux_sym_order_by_clause_token1] = ACTIONS(81), + [aux_sym_limit_clause_token1] = ACTIONS(81), + [aux_sym_where_clause_token1] = ACTIONS(81), + [aux_sym_join_type_token1] = ACTIONS(81), + [aux_sym_join_type_token2] = ACTIONS(81), + [aux_sym_join_type_token3] = ACTIONS(81), + [aux_sym_join_type_token4] = ACTIONS(81), + [aux_sym_join_clause_token1] = ACTIONS(81), + [aux_sym_is_expression_token1] = ACTIONS(81), + [aux_sym_boolean_expression_token1] = ACTIONS(81), + [aux_sym_boolean_expression_token2] = ACTIONS(83), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(81), + [anon_sym_DASH_GT] = ACTIONS(83), + [anon_sym_DASH_GT_GT] = ACTIONS(81), + [anon_sym_POUND_GT] = ACTIONS(83), + [anon_sym_POUND_GT_GT] = ACTIONS(81), + [anon_sym_LBRACK] = ACTIONS(85), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(81), + [anon_sym_DASH] = ACTIONS(83), + [anon_sym_TILDE] = ACTIONS(83), + [anon_sym_CARET] = ACTIONS(81), + [anon_sym_STAR] = ACTIONS(81), + [anon_sym_SLASH] = ACTIONS(83), + [anon_sym_PERCENT] = ACTIONS(81), + [anon_sym_LT_LT] = ACTIONS(81), + [anon_sym_GT_GT] = ACTIONS(81), + [anon_sym_AMP] = ACTIONS(81), + [anon_sym_PIPE] = ACTIONS(81), + [anon_sym_POUND] = ACTIONS(83), + [anon_sym_LT] = ACTIONS(83), + [anon_sym_LT_EQ] = ACTIONS(81), + [anon_sym_LT_GT] = ACTIONS(81), + [anon_sym_GT] = ACTIONS(83), + [anon_sym_GT_EQ] = ACTIONS(81), + [anon_sym_BANG_TILDE] = ACTIONS(83), + [anon_sym_TILDE_STAR] = ACTIONS(81), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(81), + }, + [5] = { + [ts_builtin_sym_end] = ACTIONS(88), + [anon_sym_SEMI] = ACTIONS(88), + [aux_sym_begin_statement_token1] = ACTIONS(88), + [aux_sym_commit_statement_token1] = ACTIONS(88), + [aux_sym_rollback_statement_token1] = ACTIONS(88), + [aux_sym_with_clause_token1] = ACTIONS(88), + [aux_sym_cte_token1] = ACTIONS(88), + [aux_sym_cte_token2] = ACTIONS(88), + [aux_sym_insert_statement_token1] = ACTIONS(88), + [aux_sym_create_statement_token1] = ACTIONS(88), + [aux_sym_alter_statement_token1] = ACTIONS(88), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(88), + [aux_sym_pg_command_token1] = ACTIONS(88), + [aux_sym_create_function_statement_token3] = ACTIONS(88), + [aux_sym_optimizer_hint_token1] = ACTIONS(88), + [aux_sym_optimizer_hint_token2] = ACTIONS(88), + [aux_sym_optimizer_hint_token3] = ACTIONS(88), + [aux_sym_parallel_hint_token1] = ACTIONS(88), + [aux_sym_parallel_hint_token2] = ACTIONS(88), + [aux_sym_parallel_hint_token3] = ACTIONS(88), + [aux_sym_parallel_hint_token4] = ACTIONS(88), + [aux_sym_null_hint_token1] = ACTIONS(88), + [aux_sym_null_hint_token3] = ACTIONS(88), + [aux_sym_null_hint_token5] = ACTIONS(88), + [aux_sym__function_language_token1] = ACTIONS(88), + [aux_sym_create_function_parameter_token1] = ACTIONS(90), + [anon_sym_EQ] = ACTIONS(88), + [aux_sym_drop_statement_token1] = ACTIONS(88), + [aux_sym_grant_statement_token1] = ACTIONS(88), + [aux_sym_grant_statement_token4] = ACTIONS(88), + [aux_sym_grant_statement_token5] = ACTIONS(88), + [aux_sym_grant_statement_token6] = ACTIONS(88), + [aux_sym_grant_statement_token12] = ACTIONS(88), + [aux_sym_order_by_clause_token1] = ACTIONS(88), + [aux_sym_limit_clause_token1] = ACTIONS(88), + [aux_sym_where_clause_token1] = ACTIONS(88), + [aux_sym_join_type_token1] = ACTIONS(88), + [aux_sym_join_type_token2] = ACTIONS(88), + [aux_sym_join_type_token3] = ACTIONS(88), + [aux_sym_join_type_token4] = ACTIONS(88), + [aux_sym_join_clause_token1] = ACTIONS(88), + [aux_sym_is_expression_token1] = ACTIONS(88), + [aux_sym_boolean_expression_token1] = ACTIONS(88), + [aux_sym_boolean_expression_token2] = ACTIONS(90), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(88), + [anon_sym_DASH_GT] = ACTIONS(90), + [anon_sym_DASH_GT_GT] = ACTIONS(88), + [anon_sym_POUND_GT] = ACTIONS(90), + [anon_sym_POUND_GT_GT] = ACTIONS(88), + [anon_sym_LBRACK] = ACTIONS(88), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(88), + [anon_sym_DASH] = ACTIONS(90), + [anon_sym_TILDE] = ACTIONS(90), + [anon_sym_CARET] = ACTIONS(88), + [anon_sym_STAR] = ACTIONS(88), + [anon_sym_SLASH] = ACTIONS(90), + [anon_sym_PERCENT] = ACTIONS(88), + [anon_sym_LT_LT] = ACTIONS(88), + [anon_sym_GT_GT] = ACTIONS(88), + [anon_sym_AMP] = ACTIONS(88), + [anon_sym_PIPE] = ACTIONS(88), + [anon_sym_POUND] = ACTIONS(90), + [anon_sym_LT] = ACTIONS(90), + [anon_sym_LT_EQ] = ACTIONS(88), + [anon_sym_LT_GT] = ACTIONS(88), + [anon_sym_GT] = ACTIONS(90), + [anon_sym_GT_EQ] = ACTIONS(88), + [anon_sym_BANG_TILDE] = ACTIONS(90), + [anon_sym_TILDE_STAR] = ACTIONS(88), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(88), + }, + [6] = { + [ts_builtin_sym_end] = ACTIONS(92), + [anon_sym_SEMI] = ACTIONS(92), + [aux_sym_begin_statement_token1] = ACTIONS(92), + [aux_sym_commit_statement_token1] = ACTIONS(92), + [aux_sym_rollback_statement_token1] = ACTIONS(92), + [aux_sym_with_clause_token1] = ACTIONS(94), + [anon_sym_COMMA] = ACTIONS(92), + [aux_sym_cte_token1] = ACTIONS(92), + [aux_sym_cte_token2] = ACTIONS(92), + [anon_sym_LPAREN] = ACTIONS(92), + [aux_sym_insert_statement_token1] = ACTIONS(92), + [aux_sym_create_statement_token1] = ACTIONS(92), + [aux_sym_alter_statement_token1] = ACTIONS(92), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(92), + [aux_sym_pg_command_token1] = ACTIONS(92), + [aux_sym_create_function_statement_token3] = ACTIONS(92), + [aux_sym_optimizer_hint_token1] = ACTIONS(92), + [aux_sym_optimizer_hint_token2] = ACTIONS(92), + [aux_sym_optimizer_hint_token3] = ACTIONS(92), + [aux_sym_parallel_hint_token1] = ACTIONS(92), + [aux_sym_parallel_hint_token2] = ACTIONS(92), + [aux_sym_parallel_hint_token3] = ACTIONS(92), + [aux_sym_parallel_hint_token4] = ACTIONS(92), + [aux_sym_null_hint_token1] = ACTIONS(92), + [aux_sym_null_hint_token3] = ACTIONS(92), + [aux_sym_null_hint_token5] = ACTIONS(92), + [aux_sym__function_language_token1] = ACTIONS(92), + [aux_sym_create_function_parameter_token1] = ACTIONS(94), + [anon_sym_EQ] = ACTIONS(92), + [aux_sym_drop_statement_token1] = ACTIONS(92), + [aux_sym_grant_statement_token1] = ACTIONS(92), + [aux_sym_grant_statement_token4] = ACTIONS(92), + [aux_sym_grant_statement_token5] = ACTIONS(92), + [aux_sym_grant_statement_token6] = ACTIONS(92), + [aux_sym_grant_statement_token12] = ACTIONS(92), + [aux_sym_order_by_clause_token1] = ACTIONS(92), + [aux_sym_limit_clause_token1] = ACTIONS(92), + [aux_sym_is_expression_token1] = ACTIONS(92), + [aux_sym_boolean_expression_token1] = ACTIONS(92), + [aux_sym_boolean_expression_token2] = ACTIONS(94), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(92), + [anon_sym_DOT] = ACTIONS(92), + [anon_sym_DASH_GT] = ACTIONS(94), + [anon_sym_DASH_GT_GT] = ACTIONS(92), + [anon_sym_POUND_GT] = ACTIONS(94), + [anon_sym_POUND_GT_GT] = ACTIONS(92), + [aux_sym_type_token1] = ACTIONS(92), + [aux_sym_type_token2] = ACTIONS(92), + [aux_sym_type_token3] = ACTIONS(92), + [anon_sym_LBRACK] = ACTIONS(92), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(92), + [anon_sym_DASH] = ACTIONS(94), + [anon_sym_TILDE] = ACTIONS(94), + [anon_sym_CARET] = ACTIONS(92), + [anon_sym_STAR] = ACTIONS(92), + [anon_sym_SLASH] = ACTIONS(94), + [anon_sym_PERCENT] = ACTIONS(92), + [anon_sym_LT_LT] = ACTIONS(92), + [anon_sym_GT_GT] = ACTIONS(92), + [anon_sym_AMP] = ACTIONS(92), + [anon_sym_PIPE] = ACTIONS(92), + [anon_sym_POUND] = ACTIONS(94), + [anon_sym_LT] = ACTIONS(94), + [anon_sym_LT_EQ] = ACTIONS(92), + [anon_sym_LT_GT] = ACTIONS(92), + [anon_sym_GT] = ACTIONS(94), + [anon_sym_GT_EQ] = ACTIONS(92), + [anon_sym_BANG_TILDE] = ACTIONS(94), + [anon_sym_TILDE_STAR] = ACTIONS(92), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(92), + }, + [7] = { + [ts_builtin_sym_end] = ACTIONS(81), + [anon_sym_SEMI] = ACTIONS(81), + [aux_sym_begin_statement_token1] = ACTIONS(81), + [aux_sym_commit_statement_token1] = ACTIONS(81), + [aux_sym_rollback_statement_token1] = ACTIONS(81), + [aux_sym_with_clause_token1] = ACTIONS(81), + [aux_sym_cte_token1] = ACTIONS(81), + [aux_sym_cte_token2] = ACTIONS(81), + [aux_sym_insert_statement_token1] = ACTIONS(81), + [aux_sym_create_statement_token1] = ACTIONS(81), + [aux_sym_alter_statement_token1] = ACTIONS(81), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(81), + [aux_sym_pg_command_token1] = ACTIONS(81), + [aux_sym_create_function_statement_token3] = ACTIONS(81), + [aux_sym_optimizer_hint_token1] = ACTIONS(81), + [aux_sym_optimizer_hint_token2] = ACTIONS(81), + [aux_sym_optimizer_hint_token3] = ACTIONS(81), + [aux_sym_parallel_hint_token1] = ACTIONS(81), + [aux_sym_parallel_hint_token2] = ACTIONS(81), + [aux_sym_parallel_hint_token3] = ACTIONS(81), + [aux_sym_parallel_hint_token4] = ACTIONS(81), + [aux_sym_null_hint_token1] = ACTIONS(81), + [aux_sym_null_hint_token3] = ACTIONS(81), + [aux_sym_null_hint_token5] = ACTIONS(81), + [aux_sym__function_language_token1] = ACTIONS(81), + [aux_sym_create_function_parameter_token1] = ACTIONS(83), + [anon_sym_EQ] = ACTIONS(81), + [aux_sym_drop_statement_token1] = ACTIONS(81), + [aux_sym_grant_statement_token1] = ACTIONS(81), + [aux_sym_grant_statement_token4] = ACTIONS(81), + [aux_sym_grant_statement_token5] = ACTIONS(81), + [aux_sym_grant_statement_token6] = ACTIONS(81), + [aux_sym_grant_statement_token12] = ACTIONS(81), + [aux_sym_order_by_clause_token1] = ACTIONS(81), + [aux_sym_limit_clause_token1] = ACTIONS(81), + [aux_sym_where_clause_token1] = ACTIONS(81), + [aux_sym_join_type_token1] = ACTIONS(81), + [aux_sym_join_type_token2] = ACTIONS(81), + [aux_sym_join_type_token3] = ACTIONS(81), + [aux_sym_join_type_token4] = ACTIONS(81), + [aux_sym_join_clause_token1] = ACTIONS(81), + [aux_sym_is_expression_token1] = ACTIONS(81), + [aux_sym_boolean_expression_token1] = ACTIONS(81), + [aux_sym_boolean_expression_token2] = ACTIONS(83), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(81), + [anon_sym_DASH_GT] = ACTIONS(83), + [anon_sym_DASH_GT_GT] = ACTIONS(81), + [anon_sym_POUND_GT] = ACTIONS(83), + [anon_sym_POUND_GT_GT] = ACTIONS(81), + [anon_sym_LBRACK] = ACTIONS(81), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(81), + [anon_sym_DASH] = ACTIONS(83), + [anon_sym_TILDE] = ACTIONS(83), + [anon_sym_CARET] = ACTIONS(81), + [anon_sym_STAR] = ACTIONS(81), + [anon_sym_SLASH] = ACTIONS(83), + [anon_sym_PERCENT] = ACTIONS(81), + [anon_sym_LT_LT] = ACTIONS(81), + [anon_sym_GT_GT] = ACTIONS(81), + [anon_sym_AMP] = ACTIONS(81), + [anon_sym_PIPE] = ACTIONS(81), + [anon_sym_POUND] = ACTIONS(83), + [anon_sym_LT] = ACTIONS(83), + [anon_sym_LT_EQ] = ACTIONS(81), + [anon_sym_LT_GT] = ACTIONS(81), + [anon_sym_GT] = ACTIONS(83), + [anon_sym_GT_EQ] = ACTIONS(81), + [anon_sym_BANG_TILDE] = ACTIONS(83), + [anon_sym_TILDE_STAR] = ACTIONS(81), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(81), + }, + [8] = { + [aux_sym_dotted_name_repeat1] = STATE(8), + [ts_builtin_sym_end] = ACTIONS(92), + [anon_sym_SEMI] = ACTIONS(92), + [aux_sym_begin_statement_token1] = ACTIONS(94), + [aux_sym_commit_statement_token1] = ACTIONS(94), + [aux_sym_rollback_statement_token1] = ACTIONS(94), + [aux_sym_with_clause_token1] = ACTIONS(94), + [anon_sym_COMMA] = ACTIONS(92), + [aux_sym_cte_token1] = ACTIONS(94), + [aux_sym_cte_token2] = ACTIONS(94), + [anon_sym_LPAREN] = ACTIONS(92), + [aux_sym_insert_statement_token1] = ACTIONS(94), + [aux_sym_create_statement_token1] = ACTIONS(94), + [aux_sym_alter_statement_token1] = ACTIONS(94), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(94), + [aux_sym_pg_command_token1] = ACTIONS(92), + [aux_sym_create_function_parameter_token1] = ACTIONS(94), + [anon_sym_EQ] = ACTIONS(92), + [aux_sym_drop_statement_token1] = ACTIONS(94), + [aux_sym_grant_statement_token1] = ACTIONS(94), + [aux_sym_grant_statement_token4] = ACTIONS(94), + [aux_sym_grant_statement_token5] = ACTIONS(94), + [aux_sym_grant_statement_token6] = ACTIONS(94), + [aux_sym_grant_statement_token12] = ACTIONS(94), + [aux_sym_order_by_clause_token1] = ACTIONS(94), + [aux_sym_limit_clause_token1] = ACTIONS(94), + [aux_sym_where_clause_token1] = ACTIONS(94), + [aux_sym_from_clause_token1] = ACTIONS(94), + [aux_sym_join_type_token1] = ACTIONS(94), + [aux_sym_join_type_token2] = ACTIONS(94), + [aux_sym_join_type_token3] = ACTIONS(94), + [aux_sym_join_type_token4] = ACTIONS(94), + [aux_sym_join_clause_token1] = ACTIONS(94), + [aux_sym_is_expression_token1] = ACTIONS(94), + [aux_sym_boolean_expression_token1] = ACTIONS(94), + [aux_sym_boolean_expression_token2] = ACTIONS(94), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(94), + [sym__unquoted_identifier] = ACTIONS(94), + [anon_sym_BQUOTE] = ACTIONS(92), + [anon_sym_DQUOTE] = ACTIONS(92), + [anon_sym_DOT] = ACTIONS(96), + [anon_sym_DASH_GT] = ACTIONS(94), + [anon_sym_DASH_GT_GT] = ACTIONS(92), + [anon_sym_POUND_GT] = ACTIONS(94), + [anon_sym_POUND_GT_GT] = ACTIONS(92), + [aux_sym_type_token1] = ACTIONS(94), + [aux_sym_type_token2] = ACTIONS(94), + [aux_sym_type_token3] = ACTIONS(94), + [anon_sym_LBRACK] = ACTIONS(92), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(92), + [anon_sym_DASH] = ACTIONS(94), + [anon_sym_TILDE] = ACTIONS(94), + [anon_sym_CARET] = ACTIONS(92), + [anon_sym_STAR] = ACTIONS(92), + [anon_sym_SLASH] = ACTIONS(94), + [anon_sym_PERCENT] = ACTIONS(92), + [anon_sym_LT_LT] = ACTIONS(92), + [anon_sym_GT_GT] = ACTIONS(92), + [anon_sym_AMP] = ACTIONS(92), + [anon_sym_PIPE] = ACTIONS(92), + [anon_sym_POUND] = ACTIONS(94), + [anon_sym_LT] = ACTIONS(94), + [anon_sym_LT_EQ] = ACTIONS(92), + [anon_sym_LT_GT] = ACTIONS(92), + [anon_sym_GT] = ACTIONS(94), + [anon_sym_GT_EQ] = ACTIONS(92), + [anon_sym_BANG_TILDE] = ACTIONS(94), + [anon_sym_TILDE_STAR] = ACTIONS(92), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(92), + }, + [9] = { + [ts_builtin_sym_end] = ACTIONS(99), + [anon_sym_SEMI] = ACTIONS(99), + [aux_sym_begin_statement_token1] = ACTIONS(99), + [aux_sym_commit_statement_token1] = ACTIONS(99), + [aux_sym_rollback_statement_token1] = ACTIONS(99), + [aux_sym_with_clause_token1] = ACTIONS(101), + [anon_sym_COMMA] = ACTIONS(99), + [aux_sym_cte_token1] = ACTIONS(99), + [aux_sym_cte_token2] = ACTIONS(99), + [anon_sym_LPAREN] = ACTIONS(103), + [aux_sym_insert_statement_token1] = ACTIONS(99), + [aux_sym_create_statement_token1] = ACTIONS(99), + [aux_sym_alter_statement_token1] = ACTIONS(99), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(99), + [aux_sym_pg_command_token1] = ACTIONS(99), + [aux_sym_create_function_statement_token3] = ACTIONS(99), + [aux_sym_optimizer_hint_token1] = ACTIONS(99), + [aux_sym_optimizer_hint_token2] = ACTIONS(99), + [aux_sym_optimizer_hint_token3] = ACTIONS(99), + [aux_sym_parallel_hint_token1] = ACTIONS(99), + [aux_sym_parallel_hint_token2] = ACTIONS(99), + [aux_sym_parallel_hint_token3] = ACTIONS(99), + [aux_sym_parallel_hint_token4] = ACTIONS(99), + [aux_sym_null_hint_token1] = ACTIONS(99), + [aux_sym_null_hint_token3] = ACTIONS(99), + [aux_sym_null_hint_token5] = ACTIONS(99), + [aux_sym__function_language_token1] = ACTIONS(99), + [aux_sym_create_function_parameter_token1] = ACTIONS(105), + [anon_sym_EQ] = ACTIONS(99), + [aux_sym_drop_statement_token1] = ACTIONS(99), + [aux_sym_grant_statement_token1] = ACTIONS(99), + [aux_sym_grant_statement_token4] = ACTIONS(99), + [aux_sym_grant_statement_token5] = ACTIONS(99), + [aux_sym_grant_statement_token6] = ACTIONS(99), + [aux_sym_grant_statement_token12] = ACTIONS(99), + [aux_sym_order_by_clause_token1] = ACTIONS(99), + [aux_sym_limit_clause_token1] = ACTIONS(99), + [aux_sym_is_expression_token1] = ACTIONS(99), + [aux_sym_boolean_expression_token1] = ACTIONS(99), + [aux_sym_boolean_expression_token2] = ACTIONS(105), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(99), + [anon_sym_DASH_GT] = ACTIONS(105), + [anon_sym_DASH_GT_GT] = ACTIONS(99), + [anon_sym_POUND_GT] = ACTIONS(105), + [anon_sym_POUND_GT_GT] = ACTIONS(99), + [aux_sym_type_token1] = ACTIONS(107), + [aux_sym_type_token2] = ACTIONS(109), + [aux_sym_type_token3] = ACTIONS(111), + [anon_sym_LBRACK] = ACTIONS(99), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(99), + [anon_sym_DASH] = ACTIONS(105), + [anon_sym_TILDE] = ACTIONS(105), + [anon_sym_CARET] = ACTIONS(99), + [anon_sym_STAR] = ACTIONS(99), + [anon_sym_SLASH] = ACTIONS(105), + [anon_sym_PERCENT] = ACTIONS(99), + [anon_sym_LT_LT] = ACTIONS(99), + [anon_sym_GT_GT] = ACTIONS(99), + [anon_sym_AMP] = ACTIONS(99), + [anon_sym_PIPE] = ACTIONS(99), + [anon_sym_POUND] = ACTIONS(105), + [anon_sym_LT] = ACTIONS(105), + [anon_sym_LT_EQ] = ACTIONS(99), + [anon_sym_LT_GT] = ACTIONS(99), + [anon_sym_GT] = ACTIONS(105), + [anon_sym_GT_EQ] = ACTIONS(99), + [anon_sym_BANG_TILDE] = ACTIONS(105), + [anon_sym_TILDE_STAR] = ACTIONS(99), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(99), + }, + [10] = { + [aux_sym_dotted_name_repeat1] = STATE(8), + [ts_builtin_sym_end] = ACTIONS(113), + [anon_sym_SEMI] = ACTIONS(113), + [aux_sym_begin_statement_token1] = ACTIONS(115), + [aux_sym_commit_statement_token1] = ACTIONS(115), + [aux_sym_rollback_statement_token1] = ACTIONS(115), + [aux_sym_with_clause_token1] = ACTIONS(115), + [anon_sym_COMMA] = ACTIONS(113), + [aux_sym_cte_token1] = ACTIONS(115), + [aux_sym_cte_token2] = ACTIONS(115), + [anon_sym_LPAREN] = ACTIONS(113), + [aux_sym_insert_statement_token1] = ACTIONS(115), + [aux_sym_create_statement_token1] = ACTIONS(115), + [aux_sym_alter_statement_token1] = ACTIONS(115), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(115), + [aux_sym_pg_command_token1] = ACTIONS(113), + [aux_sym_create_function_parameter_token1] = ACTIONS(115), + [anon_sym_EQ] = ACTIONS(113), + [aux_sym_drop_statement_token1] = ACTIONS(115), + [aux_sym_grant_statement_token1] = ACTIONS(115), + [aux_sym_grant_statement_token4] = ACTIONS(115), + [aux_sym_grant_statement_token5] = ACTIONS(115), + [aux_sym_grant_statement_token6] = ACTIONS(115), + [aux_sym_grant_statement_token12] = ACTIONS(115), + [aux_sym_order_by_clause_token1] = ACTIONS(115), + [aux_sym_limit_clause_token1] = ACTIONS(115), + [aux_sym_where_clause_token1] = ACTIONS(115), + [aux_sym_from_clause_token1] = ACTIONS(115), + [aux_sym_join_type_token1] = ACTIONS(115), + [aux_sym_join_type_token2] = ACTIONS(115), + [aux_sym_join_type_token3] = ACTIONS(115), + [aux_sym_join_type_token4] = ACTIONS(115), + [aux_sym_join_clause_token1] = ACTIONS(115), + [aux_sym_is_expression_token1] = ACTIONS(115), + [aux_sym_boolean_expression_token1] = ACTIONS(115), + [aux_sym_boolean_expression_token2] = ACTIONS(115), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(115), + [sym__unquoted_identifier] = ACTIONS(115), + [anon_sym_BQUOTE] = ACTIONS(113), + [anon_sym_DQUOTE] = ACTIONS(113), + [anon_sym_DOT] = ACTIONS(117), + [anon_sym_DASH_GT] = ACTIONS(115), + [anon_sym_DASH_GT_GT] = ACTIONS(113), + [anon_sym_POUND_GT] = ACTIONS(115), + [anon_sym_POUND_GT_GT] = ACTIONS(113), + [aux_sym_type_token1] = ACTIONS(115), + [aux_sym_type_token2] = ACTIONS(115), + [aux_sym_type_token3] = ACTIONS(115), + [anon_sym_LBRACK] = ACTIONS(113), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(113), + [anon_sym_DASH] = ACTIONS(115), + [anon_sym_TILDE] = ACTIONS(115), + [anon_sym_CARET] = ACTIONS(113), + [anon_sym_STAR] = ACTIONS(113), + [anon_sym_SLASH] = ACTIONS(115), + [anon_sym_PERCENT] = ACTIONS(113), + [anon_sym_LT_LT] = ACTIONS(113), + [anon_sym_GT_GT] = ACTIONS(113), + [anon_sym_AMP] = ACTIONS(113), + [anon_sym_PIPE] = ACTIONS(113), + [anon_sym_POUND] = ACTIONS(115), + [anon_sym_LT] = ACTIONS(115), + [anon_sym_LT_EQ] = ACTIONS(113), + [anon_sym_LT_GT] = ACTIONS(113), + [anon_sym_GT] = ACTIONS(115), + [anon_sym_GT_EQ] = ACTIONS(113), + [anon_sym_BANG_TILDE] = ACTIONS(115), + [anon_sym_TILDE_STAR] = ACTIONS(113), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(113), + }, + [11] = { + [aux_sym_dotted_name_repeat1] = STATE(10), + [ts_builtin_sym_end] = ACTIONS(119), + [anon_sym_SEMI] = ACTIONS(119), + [aux_sym_begin_statement_token1] = ACTIONS(121), + [aux_sym_commit_statement_token1] = ACTIONS(121), + [aux_sym_rollback_statement_token1] = ACTIONS(121), + [aux_sym_with_clause_token1] = ACTIONS(121), + [anon_sym_COMMA] = ACTIONS(119), + [aux_sym_cte_token1] = ACTIONS(121), + [aux_sym_cte_token2] = ACTIONS(121), + [anon_sym_LPAREN] = ACTIONS(119), + [aux_sym_insert_statement_token1] = ACTIONS(121), + [aux_sym_create_statement_token1] = ACTIONS(121), + [aux_sym_alter_statement_token1] = ACTIONS(121), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(121), + [aux_sym_pg_command_token1] = ACTIONS(119), + [aux_sym_create_function_parameter_token1] = ACTIONS(121), + [anon_sym_EQ] = ACTIONS(119), + [aux_sym_drop_statement_token1] = ACTIONS(121), + [aux_sym_grant_statement_token1] = ACTIONS(121), + [aux_sym_grant_statement_token4] = ACTIONS(121), + [aux_sym_grant_statement_token5] = ACTIONS(121), + [aux_sym_grant_statement_token6] = ACTIONS(121), + [aux_sym_grant_statement_token12] = ACTIONS(121), + [aux_sym_order_by_clause_token1] = ACTIONS(121), + [aux_sym_limit_clause_token1] = ACTIONS(121), + [aux_sym_where_clause_token1] = ACTIONS(121), + [aux_sym_from_clause_token1] = ACTIONS(121), + [aux_sym_join_type_token1] = ACTIONS(121), + [aux_sym_join_type_token2] = ACTIONS(121), + [aux_sym_join_type_token3] = ACTIONS(121), + [aux_sym_join_type_token4] = ACTIONS(121), + [aux_sym_join_clause_token1] = ACTIONS(121), + [aux_sym_is_expression_token1] = ACTIONS(121), + [aux_sym_boolean_expression_token1] = ACTIONS(121), + [aux_sym_boolean_expression_token2] = ACTIONS(121), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(121), + [sym__unquoted_identifier] = ACTIONS(121), + [anon_sym_BQUOTE] = ACTIONS(119), + [anon_sym_DQUOTE] = ACTIONS(119), + [anon_sym_DOT] = ACTIONS(117), + [anon_sym_DASH_GT] = ACTIONS(121), + [anon_sym_DASH_GT_GT] = ACTIONS(119), + [anon_sym_POUND_GT] = ACTIONS(121), + [anon_sym_POUND_GT_GT] = ACTIONS(119), + [aux_sym_type_token1] = ACTIONS(121), + [aux_sym_type_token2] = ACTIONS(121), + [aux_sym_type_token3] = ACTIONS(121), + [anon_sym_LBRACK] = ACTIONS(119), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(119), + [anon_sym_DASH] = ACTIONS(121), + [anon_sym_TILDE] = ACTIONS(121), + [anon_sym_CARET] = ACTIONS(119), + [anon_sym_STAR] = ACTIONS(119), + [anon_sym_SLASH] = ACTIONS(121), + [anon_sym_PERCENT] = ACTIONS(119), + [anon_sym_LT_LT] = ACTIONS(119), + [anon_sym_GT_GT] = ACTIONS(119), + [anon_sym_AMP] = ACTIONS(119), + [anon_sym_PIPE] = ACTIONS(119), + [anon_sym_POUND] = ACTIONS(121), + [anon_sym_LT] = ACTIONS(121), + [anon_sym_LT_EQ] = ACTIONS(119), + [anon_sym_LT_GT] = ACTIONS(119), + [anon_sym_GT] = ACTIONS(121), + [anon_sym_GT_EQ] = ACTIONS(119), + [anon_sym_BANG_TILDE] = ACTIONS(121), + [anon_sym_TILDE_STAR] = ACTIONS(119), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(119), + }, + [12] = { + [aux_sym_dotted_name_repeat1] = STATE(13), + [ts_builtin_sym_end] = ACTIONS(119), + [anon_sym_SEMI] = ACTIONS(119), + [aux_sym_begin_statement_token1] = ACTIONS(121), + [aux_sym_commit_statement_token1] = ACTIONS(121), + [aux_sym_rollback_statement_token1] = ACTIONS(121), + [aux_sym_with_clause_token1] = ACTIONS(121), + [anon_sym_COMMA] = ACTIONS(119), + [aux_sym_cte_token1] = ACTIONS(121), + [aux_sym_cte_token2] = ACTIONS(121), + [anon_sym_LPAREN] = ACTIONS(119), + [aux_sym_insert_statement_token1] = ACTIONS(121), + [aux_sym_create_statement_token1] = ACTIONS(121), + [aux_sym_alter_statement_token1] = ACTIONS(121), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(121), + [aux_sym_pg_command_token1] = ACTIONS(119), + [aux_sym_create_function_parameter_token1] = ACTIONS(121), + [anon_sym_EQ] = ACTIONS(119), + [aux_sym_drop_statement_token1] = ACTIONS(121), + [aux_sym_grant_statement_token1] = ACTIONS(121), + [aux_sym_grant_statement_token4] = ACTIONS(121), + [aux_sym_grant_statement_token5] = ACTIONS(121), + [aux_sym_grant_statement_token6] = ACTIONS(121), + [aux_sym_grant_statement_token12] = ACTIONS(121), + [aux_sym_order_by_clause_token1] = ACTIONS(121), + [aux_sym_limit_clause_token1] = ACTIONS(121), + [aux_sym_where_clause_token1] = ACTIONS(121), + [aux_sym_join_type_token1] = ACTIONS(121), + [aux_sym_join_type_token2] = ACTIONS(121), + [aux_sym_join_type_token3] = ACTIONS(121), + [aux_sym_join_type_token4] = ACTIONS(121), + [aux_sym_join_clause_token1] = ACTIONS(121), + [aux_sym_is_expression_token1] = ACTIONS(121), + [aux_sym_boolean_expression_token1] = ACTIONS(121), + [aux_sym_boolean_expression_token2] = ACTIONS(121), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(121), + [sym__unquoted_identifier] = ACTIONS(121), + [anon_sym_BQUOTE] = ACTIONS(119), + [anon_sym_DQUOTE] = ACTIONS(119), + [anon_sym_DOT] = ACTIONS(123), + [anon_sym_DASH_GT] = ACTIONS(121), + [anon_sym_DASH_GT_GT] = ACTIONS(119), + [anon_sym_POUND_GT] = ACTIONS(121), + [anon_sym_POUND_GT_GT] = ACTIONS(119), + [aux_sym_type_token1] = ACTIONS(121), + [aux_sym_type_token2] = ACTIONS(121), + [aux_sym_type_token3] = ACTIONS(121), + [anon_sym_LBRACK] = ACTIONS(119), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(119), + [anon_sym_DASH] = ACTIONS(121), + [anon_sym_TILDE] = ACTIONS(121), + [anon_sym_CARET] = ACTIONS(119), + [anon_sym_STAR] = ACTIONS(119), + [anon_sym_SLASH] = ACTIONS(121), + [anon_sym_PERCENT] = ACTIONS(119), + [anon_sym_LT_LT] = ACTIONS(119), + [anon_sym_GT_GT] = ACTIONS(119), + [anon_sym_AMP] = ACTIONS(119), + [anon_sym_PIPE] = ACTIONS(119), + [anon_sym_POUND] = ACTIONS(121), + [anon_sym_LT] = ACTIONS(121), + [anon_sym_LT_EQ] = ACTIONS(119), + [anon_sym_LT_GT] = ACTIONS(119), + [anon_sym_GT] = ACTIONS(121), + [anon_sym_GT_EQ] = ACTIONS(119), + [anon_sym_BANG_TILDE] = ACTIONS(121), + [anon_sym_TILDE_STAR] = ACTIONS(119), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(119), + }, + [13] = { + [aux_sym_dotted_name_repeat1] = STATE(17), + [ts_builtin_sym_end] = ACTIONS(113), + [anon_sym_SEMI] = ACTIONS(113), + [aux_sym_begin_statement_token1] = ACTIONS(115), + [aux_sym_commit_statement_token1] = ACTIONS(115), + [aux_sym_rollback_statement_token1] = ACTIONS(115), + [aux_sym_with_clause_token1] = ACTIONS(115), + [anon_sym_COMMA] = ACTIONS(113), + [aux_sym_cte_token1] = ACTIONS(115), + [aux_sym_cte_token2] = ACTIONS(115), + [anon_sym_LPAREN] = ACTIONS(113), + [aux_sym_insert_statement_token1] = ACTIONS(115), + [aux_sym_create_statement_token1] = ACTIONS(115), + [aux_sym_alter_statement_token1] = ACTIONS(115), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(115), + [aux_sym_pg_command_token1] = ACTIONS(113), + [aux_sym_create_function_parameter_token1] = ACTIONS(115), + [anon_sym_EQ] = ACTIONS(113), + [aux_sym_drop_statement_token1] = ACTIONS(115), + [aux_sym_grant_statement_token1] = ACTIONS(115), + [aux_sym_grant_statement_token4] = ACTIONS(115), + [aux_sym_grant_statement_token5] = ACTIONS(115), + [aux_sym_grant_statement_token6] = ACTIONS(115), + [aux_sym_grant_statement_token12] = ACTIONS(115), + [aux_sym_order_by_clause_token1] = ACTIONS(115), + [aux_sym_limit_clause_token1] = ACTIONS(115), + [aux_sym_where_clause_token1] = ACTIONS(115), + [aux_sym_join_type_token1] = ACTIONS(115), + [aux_sym_join_type_token2] = ACTIONS(115), + [aux_sym_join_type_token3] = ACTIONS(115), + [aux_sym_join_type_token4] = ACTIONS(115), + [aux_sym_join_clause_token1] = ACTIONS(115), + [aux_sym_is_expression_token1] = ACTIONS(115), + [aux_sym_boolean_expression_token1] = ACTIONS(115), + [aux_sym_boolean_expression_token2] = ACTIONS(115), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(115), + [sym__unquoted_identifier] = ACTIONS(115), + [anon_sym_BQUOTE] = ACTIONS(113), + [anon_sym_DQUOTE] = ACTIONS(113), + [anon_sym_DOT] = ACTIONS(123), + [anon_sym_DASH_GT] = ACTIONS(115), + [anon_sym_DASH_GT_GT] = ACTIONS(113), + [anon_sym_POUND_GT] = ACTIONS(115), + [anon_sym_POUND_GT_GT] = ACTIONS(113), + [aux_sym_type_token1] = ACTIONS(115), + [aux_sym_type_token2] = ACTIONS(115), + [aux_sym_type_token3] = ACTIONS(115), + [anon_sym_LBRACK] = ACTIONS(113), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(113), + [anon_sym_DASH] = ACTIONS(115), + [anon_sym_TILDE] = ACTIONS(115), + [anon_sym_CARET] = ACTIONS(113), + [anon_sym_STAR] = ACTIONS(113), + [anon_sym_SLASH] = ACTIONS(115), + [anon_sym_PERCENT] = ACTIONS(113), + [anon_sym_LT_LT] = ACTIONS(113), + [anon_sym_GT_GT] = ACTIONS(113), + [anon_sym_AMP] = ACTIONS(113), + [anon_sym_PIPE] = ACTIONS(113), + [anon_sym_POUND] = ACTIONS(115), + [anon_sym_LT] = ACTIONS(115), + [anon_sym_LT_EQ] = ACTIONS(113), + [anon_sym_LT_GT] = ACTIONS(113), + [anon_sym_GT] = ACTIONS(115), + [anon_sym_GT_EQ] = ACTIONS(113), + [anon_sym_BANG_TILDE] = ACTIONS(115), + [anon_sym_TILDE_STAR] = ACTIONS(113), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(113), + }, + [14] = { + [aux_sym_dotted_name_repeat1] = STATE(49), + [ts_builtin_sym_end] = ACTIONS(119), + [anon_sym_SEMI] = ACTIONS(119), + [aux_sym_begin_statement_token1] = ACTIONS(121), + [aux_sym_commit_statement_token1] = ACTIONS(121), + [aux_sym_rollback_statement_token1] = ACTIONS(121), + [aux_sym_with_clause_token1] = ACTIONS(121), + [anon_sym_COMMA] = ACTIONS(119), + [aux_sym_cte_token1] = ACTIONS(121), + [aux_sym_cte_token2] = ACTIONS(121), + [anon_sym_LPAREN] = ACTIONS(125), + [aux_sym_insert_statement_token1] = ACTIONS(121), + [aux_sym_create_statement_token1] = ACTIONS(121), + [aux_sym_alter_statement_token1] = ACTIONS(121), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(121), + [aux_sym_pg_command_token1] = ACTIONS(119), + [aux_sym_create_function_parameter_token1] = ACTIONS(121), + [anon_sym_EQ] = ACTIONS(119), + [aux_sym_drop_statement_token1] = ACTIONS(121), + [aux_sym_grant_statement_token1] = ACTIONS(121), + [aux_sym_grant_statement_token4] = ACTIONS(121), + [aux_sym_grant_statement_token5] = ACTIONS(121), + [aux_sym_grant_statement_token6] = ACTIONS(121), + [aux_sym_grant_statement_token12] = ACTIONS(121), + [aux_sym_order_by_clause_token1] = ACTIONS(121), + [aux_sym_limit_clause_token1] = ACTIONS(121), + [aux_sym_where_clause_token1] = ACTIONS(121), + [aux_sym_from_clause_token1] = ACTIONS(121), + [aux_sym_join_type_token1] = ACTIONS(121), + [aux_sym_join_type_token2] = ACTIONS(121), + [aux_sym_join_type_token3] = ACTIONS(121), + [aux_sym_join_type_token4] = ACTIONS(121), + [aux_sym_join_clause_token1] = ACTIONS(121), + [aux_sym_is_expression_token1] = ACTIONS(121), + [aux_sym_boolean_expression_token1] = ACTIONS(121), + [aux_sym_boolean_expression_token2] = ACTIONS(121), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(121), + [sym__unquoted_identifier] = ACTIONS(121), + [anon_sym_BQUOTE] = ACTIONS(119), + [anon_sym_DQUOTE] = ACTIONS(119), + [anon_sym_DOT] = ACTIONS(127), + [anon_sym_DASH_GT] = ACTIONS(121), + [anon_sym_DASH_GT_GT] = ACTIONS(119), + [anon_sym_POUND_GT] = ACTIONS(121), + [anon_sym_POUND_GT_GT] = ACTIONS(119), + [anon_sym_LBRACK] = ACTIONS(129), + [anon_sym_COLON_COLON] = ACTIONS(119), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(119), + [anon_sym_DASH] = ACTIONS(121), + [anon_sym_TILDE] = ACTIONS(121), + [anon_sym_CARET] = ACTIONS(119), + [anon_sym_STAR] = ACTIONS(119), + [anon_sym_SLASH] = ACTIONS(121), + [anon_sym_PERCENT] = ACTIONS(119), + [anon_sym_LT_LT] = ACTIONS(119), + [anon_sym_GT_GT] = ACTIONS(119), + [anon_sym_AMP] = ACTIONS(119), + [anon_sym_PIPE] = ACTIONS(119), + [anon_sym_POUND] = ACTIONS(121), + [anon_sym_LT] = ACTIONS(121), + [anon_sym_LT_EQ] = ACTIONS(119), + [anon_sym_LT_GT] = ACTIONS(119), + [anon_sym_GT] = ACTIONS(121), + [anon_sym_GT_EQ] = ACTIONS(119), + [anon_sym_BANG_TILDE] = ACTIONS(121), + [anon_sym_TILDE_STAR] = ACTIONS(119), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(119), + [anon_sym_DOT_STAR] = ACTIONS(119), + }, + [15] = { + [ts_builtin_sym_end] = ACTIONS(131), + [anon_sym_SEMI] = ACTIONS(131), + [aux_sym_begin_statement_token1] = ACTIONS(133), + [aux_sym_commit_statement_token1] = ACTIONS(133), + [aux_sym_rollback_statement_token1] = ACTIONS(133), + [aux_sym_with_clause_token1] = ACTIONS(133), + [anon_sym_COMMA] = ACTIONS(131), + [aux_sym_cte_token1] = ACTIONS(133), + [aux_sym_cte_token2] = ACTIONS(133), + [anon_sym_LPAREN] = ACTIONS(131), + [aux_sym_insert_statement_token1] = ACTIONS(133), + [aux_sym_create_statement_token1] = ACTIONS(133), + [aux_sym_alter_statement_token1] = ACTIONS(133), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(133), + [aux_sym_pg_command_token1] = ACTIONS(131), + [aux_sym_create_function_parameter_token1] = ACTIONS(133), + [anon_sym_EQ] = ACTIONS(131), + [aux_sym_drop_statement_token1] = ACTIONS(133), + [aux_sym_grant_statement_token1] = ACTIONS(133), + [aux_sym_grant_statement_token4] = ACTIONS(133), + [aux_sym_grant_statement_token5] = ACTIONS(133), + [aux_sym_grant_statement_token6] = ACTIONS(133), + [aux_sym_grant_statement_token12] = ACTIONS(133), + [aux_sym_order_by_clause_token1] = ACTIONS(133), + [aux_sym_limit_clause_token1] = ACTIONS(133), + [aux_sym_where_clause_token1] = ACTIONS(133), + [aux_sym_from_clause_token1] = ACTIONS(133), + [aux_sym_join_type_token1] = ACTIONS(133), + [aux_sym_join_type_token2] = ACTIONS(133), + [aux_sym_join_type_token3] = ACTIONS(133), + [aux_sym_join_type_token4] = ACTIONS(133), + [aux_sym_join_clause_token1] = ACTIONS(133), + [aux_sym_is_expression_token1] = ACTIONS(133), + [aux_sym_boolean_expression_token1] = ACTIONS(133), + [aux_sym_boolean_expression_token2] = ACTIONS(133), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(133), + [sym__unquoted_identifier] = ACTIONS(133), + [anon_sym_BQUOTE] = ACTIONS(131), + [anon_sym_DQUOTE] = ACTIONS(131), + [anon_sym_DOT] = ACTIONS(131), + [anon_sym_DASH_GT] = ACTIONS(133), + [anon_sym_DASH_GT_GT] = ACTIONS(131), + [anon_sym_POUND_GT] = ACTIONS(133), + [anon_sym_POUND_GT_GT] = ACTIONS(131), + [aux_sym_type_token1] = ACTIONS(133), + [aux_sym_type_token2] = ACTIONS(133), + [aux_sym_type_token3] = ACTIONS(133), + [anon_sym_LBRACK] = ACTIONS(131), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(131), + [anon_sym_DASH] = ACTIONS(133), + [anon_sym_TILDE] = ACTIONS(133), + [anon_sym_CARET] = ACTIONS(131), + [anon_sym_STAR] = ACTIONS(131), + [anon_sym_SLASH] = ACTIONS(133), + [anon_sym_PERCENT] = ACTIONS(131), + [anon_sym_LT_LT] = ACTIONS(131), + [anon_sym_GT_GT] = ACTIONS(131), + [anon_sym_AMP] = ACTIONS(131), + [anon_sym_PIPE] = ACTIONS(131), + [anon_sym_POUND] = ACTIONS(133), + [anon_sym_LT] = ACTIONS(133), + [anon_sym_LT_EQ] = ACTIONS(131), + [anon_sym_LT_GT] = ACTIONS(131), + [anon_sym_GT] = ACTIONS(133), + [anon_sym_GT_EQ] = ACTIONS(131), + [anon_sym_BANG_TILDE] = ACTIONS(133), + [anon_sym_TILDE_STAR] = ACTIONS(131), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(131), + }, + [16] = { + [ts_builtin_sym_end] = ACTIONS(92), + [anon_sym_SEMI] = ACTIONS(92), + [aux_sym_begin_statement_token1] = ACTIONS(94), + [aux_sym_commit_statement_token1] = ACTIONS(94), + [aux_sym_rollback_statement_token1] = ACTIONS(94), + [aux_sym_with_clause_token1] = ACTIONS(94), + [anon_sym_COMMA] = ACTIONS(92), + [aux_sym_cte_token1] = ACTIONS(94), + [aux_sym_cte_token2] = ACTIONS(94), + [anon_sym_LPAREN] = ACTIONS(92), + [aux_sym_insert_statement_token1] = ACTIONS(94), + [aux_sym_create_statement_token1] = ACTIONS(94), + [aux_sym_alter_statement_token1] = ACTIONS(94), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(94), + [aux_sym_pg_command_token1] = ACTIONS(92), + [aux_sym_create_function_parameter_token1] = ACTIONS(94), + [anon_sym_EQ] = ACTIONS(92), + [aux_sym_drop_statement_token1] = ACTIONS(94), + [aux_sym_grant_statement_token1] = ACTIONS(94), + [aux_sym_grant_statement_token4] = ACTIONS(94), + [aux_sym_grant_statement_token5] = ACTIONS(94), + [aux_sym_grant_statement_token6] = ACTIONS(94), + [aux_sym_grant_statement_token12] = ACTIONS(94), + [aux_sym_order_by_clause_token1] = ACTIONS(94), + [aux_sym_limit_clause_token1] = ACTIONS(94), + [aux_sym_where_clause_token1] = ACTIONS(94), + [aux_sym_from_clause_token1] = ACTIONS(94), + [aux_sym_join_type_token1] = ACTIONS(94), + [aux_sym_join_type_token2] = ACTIONS(94), + [aux_sym_join_type_token3] = ACTIONS(94), + [aux_sym_join_type_token4] = ACTIONS(94), + [aux_sym_join_clause_token1] = ACTIONS(94), + [aux_sym_is_expression_token1] = ACTIONS(94), + [aux_sym_boolean_expression_token1] = ACTIONS(94), + [aux_sym_boolean_expression_token2] = ACTIONS(94), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(94), + [sym__unquoted_identifier] = ACTIONS(94), + [anon_sym_BQUOTE] = ACTIONS(92), + [anon_sym_DQUOTE] = ACTIONS(92), + [anon_sym_DOT] = ACTIONS(92), + [anon_sym_DASH_GT] = ACTIONS(94), + [anon_sym_DASH_GT_GT] = ACTIONS(92), + [anon_sym_POUND_GT] = ACTIONS(94), + [anon_sym_POUND_GT_GT] = ACTIONS(92), + [aux_sym_type_token1] = ACTIONS(94), + [aux_sym_type_token2] = ACTIONS(94), + [aux_sym_type_token3] = ACTIONS(94), + [anon_sym_LBRACK] = ACTIONS(92), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(92), + [anon_sym_DASH] = ACTIONS(94), + [anon_sym_TILDE] = ACTIONS(94), + [anon_sym_CARET] = ACTIONS(92), + [anon_sym_STAR] = ACTIONS(92), + [anon_sym_SLASH] = ACTIONS(94), + [anon_sym_PERCENT] = ACTIONS(92), + [anon_sym_LT_LT] = ACTIONS(92), + [anon_sym_GT_GT] = ACTIONS(92), + [anon_sym_AMP] = ACTIONS(92), + [anon_sym_PIPE] = ACTIONS(92), + [anon_sym_POUND] = ACTIONS(94), + [anon_sym_LT] = ACTIONS(94), + [anon_sym_LT_EQ] = ACTIONS(92), + [anon_sym_LT_GT] = ACTIONS(92), + [anon_sym_GT] = ACTIONS(94), + [anon_sym_GT_EQ] = ACTIONS(92), + [anon_sym_BANG_TILDE] = ACTIONS(94), + [anon_sym_TILDE_STAR] = ACTIONS(92), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(92), + }, + [17] = { + [aux_sym_dotted_name_repeat1] = STATE(17), + [ts_builtin_sym_end] = ACTIONS(92), + [anon_sym_SEMI] = ACTIONS(92), + [aux_sym_begin_statement_token1] = ACTIONS(94), + [aux_sym_commit_statement_token1] = ACTIONS(94), + [aux_sym_rollback_statement_token1] = ACTIONS(94), + [aux_sym_with_clause_token1] = ACTIONS(94), + [anon_sym_COMMA] = ACTIONS(92), + [aux_sym_cte_token1] = ACTIONS(94), + [aux_sym_cte_token2] = ACTIONS(94), + [anon_sym_LPAREN] = ACTIONS(92), + [aux_sym_insert_statement_token1] = ACTIONS(94), + [aux_sym_create_statement_token1] = ACTIONS(94), + [aux_sym_alter_statement_token1] = ACTIONS(94), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(94), + [aux_sym_pg_command_token1] = ACTIONS(92), + [aux_sym_create_function_parameter_token1] = ACTIONS(94), + [anon_sym_EQ] = ACTIONS(92), + [aux_sym_drop_statement_token1] = ACTIONS(94), + [aux_sym_grant_statement_token1] = ACTIONS(94), + [aux_sym_grant_statement_token4] = ACTIONS(94), + [aux_sym_grant_statement_token5] = ACTIONS(94), + [aux_sym_grant_statement_token6] = ACTIONS(94), + [aux_sym_grant_statement_token12] = ACTIONS(94), + [aux_sym_order_by_clause_token1] = ACTIONS(94), + [aux_sym_limit_clause_token1] = ACTIONS(94), + [aux_sym_where_clause_token1] = ACTIONS(94), + [aux_sym_join_type_token1] = ACTIONS(94), + [aux_sym_join_type_token2] = ACTIONS(94), + [aux_sym_join_type_token3] = ACTIONS(94), + [aux_sym_join_type_token4] = ACTIONS(94), + [aux_sym_join_clause_token1] = ACTIONS(94), + [aux_sym_is_expression_token1] = ACTIONS(94), + [aux_sym_boolean_expression_token1] = ACTIONS(94), + [aux_sym_boolean_expression_token2] = ACTIONS(94), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(94), + [sym__unquoted_identifier] = ACTIONS(94), + [anon_sym_BQUOTE] = ACTIONS(92), + [anon_sym_DQUOTE] = ACTIONS(92), + [anon_sym_DOT] = ACTIONS(135), + [anon_sym_DASH_GT] = ACTIONS(94), + [anon_sym_DASH_GT_GT] = ACTIONS(92), + [anon_sym_POUND_GT] = ACTIONS(94), + [anon_sym_POUND_GT_GT] = ACTIONS(92), + [aux_sym_type_token1] = ACTIONS(94), + [aux_sym_type_token2] = ACTIONS(94), + [aux_sym_type_token3] = ACTIONS(94), + [anon_sym_LBRACK] = ACTIONS(92), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(92), + [anon_sym_DASH] = ACTIONS(94), + [anon_sym_TILDE] = ACTIONS(94), + [anon_sym_CARET] = ACTIONS(92), + [anon_sym_STAR] = ACTIONS(92), + [anon_sym_SLASH] = ACTIONS(94), + [anon_sym_PERCENT] = ACTIONS(92), + [anon_sym_LT_LT] = ACTIONS(92), + [anon_sym_GT_GT] = ACTIONS(92), + [anon_sym_AMP] = ACTIONS(92), + [anon_sym_PIPE] = ACTIONS(92), + [anon_sym_POUND] = ACTIONS(94), + [anon_sym_LT] = ACTIONS(94), + [anon_sym_LT_EQ] = ACTIONS(92), + [anon_sym_LT_GT] = ACTIONS(92), + [anon_sym_GT] = ACTIONS(94), + [anon_sym_GT_EQ] = ACTIONS(92), + [anon_sym_BANG_TILDE] = ACTIONS(94), + [anon_sym_TILDE_STAR] = ACTIONS(92), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(92), + }, + [18] = { + [aux_sym_dotted_name_repeat1] = STATE(19), + [ts_builtin_sym_end] = ACTIONS(113), + [anon_sym_SEMI] = ACTIONS(113), + [aux_sym_begin_statement_token1] = ACTIONS(113), + [aux_sym_commit_statement_token1] = ACTIONS(113), + [aux_sym_rollback_statement_token1] = ACTIONS(113), + [aux_sym_with_clause_token1] = ACTIONS(115), + [anon_sym_COMMA] = ACTIONS(113), + [aux_sym_cte_token2] = ACTIONS(113), + [anon_sym_LPAREN] = ACTIONS(113), + [aux_sym_insert_statement_token1] = ACTIONS(113), + [aux_sym_create_statement_token1] = ACTIONS(113), + [aux_sym_alter_statement_token1] = ACTIONS(113), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(113), + [aux_sym_alter_table_action_alter_column_token3] = ACTIONS(113), + [aux_sym_pg_command_token1] = ACTIONS(113), + [aux_sym_null_hint_token3] = ACTIONS(113), + [aux_sym_create_function_parameter_token1] = ACTIONS(115), + [anon_sym_EQ] = ACTIONS(113), + [aux_sym_drop_statement_token1] = ACTIONS(113), + [aux_sym_grant_statement_token1] = ACTIONS(113), + [aux_sym_grant_statement_token4] = ACTIONS(113), + [aux_sym_grant_statement_token5] = ACTIONS(113), + [aux_sym_grant_statement_token6] = ACTIONS(113), + [aux_sym_grant_statement_token8] = ACTIONS(113), + [aux_sym_auto_increment_constraint_token1] = ACTIONS(113), + [aux_sym_direction_constraint_token1] = ACTIONS(113), + [aux_sym_direction_constraint_token2] = ACTIONS(113), + [anon_sym_CONSTRAINT] = ACTIONS(113), + [aux_sym_table_constraint_check_token1] = ACTIONS(113), + [aux_sym_table_constraint_unique_token1] = ACTIONS(113), + [aux_sym_table_constraint_primary_key_token1] = ACTIONS(113), + [aux_sym_limit_clause_token1] = ACTIONS(113), + [aux_sym_where_clause_token1] = ACTIONS(113), + [aux_sym_from_clause_token1] = ACTIONS(113), + [aux_sym_is_expression_token1] = ACTIONS(113), + [aux_sym_boolean_expression_token1] = ACTIONS(113), + [aux_sym_boolean_expression_token2] = ACTIONS(113), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(113), + [anon_sym_DOT] = ACTIONS(138), + [anon_sym_DASH_GT] = ACTIONS(115), + [anon_sym_DASH_GT_GT] = ACTIONS(113), + [anon_sym_POUND_GT] = ACTIONS(115), + [anon_sym_POUND_GT_GT] = ACTIONS(113), + [aux_sym_type_token1] = ACTIONS(113), + [aux_sym_type_token2] = ACTIONS(113), + [aux_sym_type_token3] = ACTIONS(113), + [anon_sym_LBRACK] = ACTIONS(113), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(113), + [anon_sym_DASH] = ACTIONS(115), + [anon_sym_TILDE] = ACTIONS(115), + [anon_sym_CARET] = ACTIONS(113), + [anon_sym_STAR] = ACTIONS(113), + [anon_sym_SLASH] = ACTIONS(115), + [anon_sym_PERCENT] = ACTIONS(113), + [anon_sym_LT_LT] = ACTIONS(113), + [anon_sym_GT_GT] = ACTIONS(113), + [anon_sym_AMP] = ACTIONS(113), + [anon_sym_PIPE] = ACTIONS(113), + [anon_sym_POUND] = ACTIONS(115), + [anon_sym_LT] = ACTIONS(115), + [anon_sym_LT_EQ] = ACTIONS(113), + [anon_sym_LT_GT] = ACTIONS(113), + [anon_sym_GT] = ACTIONS(115), + [anon_sym_GT_EQ] = ACTIONS(113), + [anon_sym_BANG_TILDE] = ACTIONS(115), + [anon_sym_TILDE_STAR] = ACTIONS(113), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(113), + }, + [19] = { + [aux_sym_dotted_name_repeat1] = STATE(19), + [ts_builtin_sym_end] = ACTIONS(92), + [anon_sym_SEMI] = ACTIONS(92), + [aux_sym_begin_statement_token1] = ACTIONS(92), + [aux_sym_commit_statement_token1] = ACTIONS(92), + [aux_sym_rollback_statement_token1] = ACTIONS(92), + [aux_sym_with_clause_token1] = ACTIONS(94), + [anon_sym_COMMA] = ACTIONS(92), + [aux_sym_cte_token2] = ACTIONS(92), + [anon_sym_LPAREN] = ACTIONS(92), + [aux_sym_insert_statement_token1] = ACTIONS(92), + [aux_sym_create_statement_token1] = ACTIONS(92), + [aux_sym_alter_statement_token1] = ACTIONS(92), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(92), + [aux_sym_alter_table_action_alter_column_token3] = ACTIONS(92), + [aux_sym_pg_command_token1] = ACTIONS(92), + [aux_sym_null_hint_token3] = ACTIONS(92), + [aux_sym_create_function_parameter_token1] = ACTIONS(94), + [anon_sym_EQ] = ACTIONS(92), + [aux_sym_drop_statement_token1] = ACTIONS(92), + [aux_sym_grant_statement_token1] = ACTIONS(92), + [aux_sym_grant_statement_token4] = ACTIONS(92), + [aux_sym_grant_statement_token5] = ACTIONS(92), + [aux_sym_grant_statement_token6] = ACTIONS(92), + [aux_sym_grant_statement_token8] = ACTIONS(92), + [aux_sym_auto_increment_constraint_token1] = ACTIONS(92), + [aux_sym_direction_constraint_token1] = ACTIONS(92), + [aux_sym_direction_constraint_token2] = ACTIONS(92), + [anon_sym_CONSTRAINT] = ACTIONS(92), + [aux_sym_table_constraint_check_token1] = ACTIONS(92), + [aux_sym_table_constraint_unique_token1] = ACTIONS(92), + [aux_sym_table_constraint_primary_key_token1] = ACTIONS(92), + [aux_sym_limit_clause_token1] = ACTIONS(92), + [aux_sym_where_clause_token1] = ACTIONS(92), + [aux_sym_from_clause_token1] = ACTIONS(92), + [aux_sym_is_expression_token1] = ACTIONS(92), + [aux_sym_boolean_expression_token1] = ACTIONS(92), + [aux_sym_boolean_expression_token2] = ACTIONS(92), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(92), + [anon_sym_DOT] = ACTIONS(140), + [anon_sym_DASH_GT] = ACTIONS(94), + [anon_sym_DASH_GT_GT] = ACTIONS(92), + [anon_sym_POUND_GT] = ACTIONS(94), + [anon_sym_POUND_GT_GT] = ACTIONS(92), + [aux_sym_type_token1] = ACTIONS(92), + [aux_sym_type_token2] = ACTIONS(92), + [aux_sym_type_token3] = ACTIONS(92), + [anon_sym_LBRACK] = ACTIONS(92), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(92), + [anon_sym_DASH] = ACTIONS(94), + [anon_sym_TILDE] = ACTIONS(94), + [anon_sym_CARET] = ACTIONS(92), + [anon_sym_STAR] = ACTIONS(92), + [anon_sym_SLASH] = ACTIONS(94), + [anon_sym_PERCENT] = ACTIONS(92), + [anon_sym_LT_LT] = ACTIONS(92), + [anon_sym_GT_GT] = ACTIONS(92), + [anon_sym_AMP] = ACTIONS(92), + [anon_sym_PIPE] = ACTIONS(92), + [anon_sym_POUND] = ACTIONS(94), + [anon_sym_LT] = ACTIONS(94), + [anon_sym_LT_EQ] = ACTIONS(92), + [anon_sym_LT_GT] = ACTIONS(92), + [anon_sym_GT] = ACTIONS(94), + [anon_sym_GT_EQ] = ACTIONS(92), + [anon_sym_BANG_TILDE] = ACTIONS(94), + [anon_sym_TILDE_STAR] = ACTIONS(92), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(92), + }, + [20] = { + [aux_sym_dotted_name_repeat1] = STATE(18), + [ts_builtin_sym_end] = ACTIONS(119), + [anon_sym_SEMI] = ACTIONS(119), + [aux_sym_begin_statement_token1] = ACTIONS(119), + [aux_sym_commit_statement_token1] = ACTIONS(119), + [aux_sym_rollback_statement_token1] = ACTIONS(119), + [aux_sym_with_clause_token1] = ACTIONS(121), + [anon_sym_COMMA] = ACTIONS(119), + [aux_sym_cte_token2] = ACTIONS(119), + [anon_sym_LPAREN] = ACTIONS(119), + [aux_sym_insert_statement_token1] = ACTIONS(119), + [aux_sym_create_statement_token1] = ACTIONS(119), + [aux_sym_alter_statement_token1] = ACTIONS(119), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(119), + [aux_sym_alter_table_action_alter_column_token3] = ACTIONS(119), + [aux_sym_pg_command_token1] = ACTIONS(119), + [aux_sym_null_hint_token3] = ACTIONS(119), + [aux_sym_create_function_parameter_token1] = ACTIONS(121), + [anon_sym_EQ] = ACTIONS(119), + [aux_sym_drop_statement_token1] = ACTIONS(119), + [aux_sym_grant_statement_token1] = ACTIONS(119), + [aux_sym_grant_statement_token4] = ACTIONS(119), + [aux_sym_grant_statement_token5] = ACTIONS(119), + [aux_sym_grant_statement_token6] = ACTIONS(119), + [aux_sym_grant_statement_token8] = ACTIONS(119), + [aux_sym_auto_increment_constraint_token1] = ACTIONS(119), + [aux_sym_direction_constraint_token1] = ACTIONS(119), + [aux_sym_direction_constraint_token2] = ACTIONS(119), + [anon_sym_CONSTRAINT] = ACTIONS(119), + [aux_sym_table_constraint_check_token1] = ACTIONS(119), + [aux_sym_table_constraint_unique_token1] = ACTIONS(119), + [aux_sym_table_constraint_primary_key_token1] = ACTIONS(119), + [aux_sym_limit_clause_token1] = ACTIONS(119), + [aux_sym_where_clause_token1] = ACTIONS(119), + [aux_sym_from_clause_token1] = ACTIONS(119), + [aux_sym_is_expression_token1] = ACTIONS(119), + [aux_sym_boolean_expression_token1] = ACTIONS(119), + [aux_sym_boolean_expression_token2] = ACTIONS(119), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(119), + [anon_sym_DOT] = ACTIONS(138), + [anon_sym_DASH_GT] = ACTIONS(121), + [anon_sym_DASH_GT_GT] = ACTIONS(119), + [anon_sym_POUND_GT] = ACTIONS(121), + [anon_sym_POUND_GT_GT] = ACTIONS(119), + [aux_sym_type_token1] = ACTIONS(119), + [aux_sym_type_token2] = ACTIONS(119), + [aux_sym_type_token3] = ACTIONS(119), + [anon_sym_LBRACK] = ACTIONS(119), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(119), + [anon_sym_DASH] = ACTIONS(121), + [anon_sym_TILDE] = ACTIONS(121), + [anon_sym_CARET] = ACTIONS(119), + [anon_sym_STAR] = ACTIONS(119), + [anon_sym_SLASH] = ACTIONS(121), + [anon_sym_PERCENT] = ACTIONS(119), + [anon_sym_LT_LT] = ACTIONS(119), + [anon_sym_GT_GT] = ACTIONS(119), + [anon_sym_AMP] = ACTIONS(119), + [anon_sym_PIPE] = ACTIONS(119), + [anon_sym_POUND] = ACTIONS(121), + [anon_sym_LT] = ACTIONS(121), + [anon_sym_LT_EQ] = ACTIONS(119), + [anon_sym_LT_GT] = ACTIONS(119), + [anon_sym_GT] = ACTIONS(121), + [anon_sym_GT_EQ] = ACTIONS(119), + [anon_sym_BANG_TILDE] = ACTIONS(121), + [anon_sym_TILDE_STAR] = ACTIONS(119), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(119), + }, + [21] = { + [ts_builtin_sym_end] = ACTIONS(143), + [anon_sym_SEMI] = ACTIONS(143), + [aux_sym_begin_statement_token1] = ACTIONS(143), + [aux_sym_commit_statement_token1] = ACTIONS(143), + [aux_sym_rollback_statement_token1] = ACTIONS(143), + [aux_sym_with_clause_token1] = ACTIONS(145), + [anon_sym_COMMA] = ACTIONS(143), + [aux_sym_cte_token1] = ACTIONS(143), + [aux_sym_cte_token2] = ACTIONS(143), + [anon_sym_LPAREN] = ACTIONS(147), + [aux_sym_insert_statement_token1] = ACTIONS(143), + [aux_sym_create_statement_token1] = ACTIONS(143), + [aux_sym_alter_statement_token1] = ACTIONS(143), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(143), + [aux_sym_pg_command_token1] = ACTIONS(143), + [aux_sym_create_function_statement_token3] = ACTIONS(143), + [aux_sym_optimizer_hint_token1] = ACTIONS(143), + [aux_sym_optimizer_hint_token2] = ACTIONS(143), + [aux_sym_optimizer_hint_token3] = ACTIONS(143), + [aux_sym_parallel_hint_token1] = ACTIONS(143), + [aux_sym_parallel_hint_token2] = ACTIONS(143), + [aux_sym_parallel_hint_token3] = ACTIONS(143), + [aux_sym_parallel_hint_token4] = ACTIONS(143), + [aux_sym_null_hint_token1] = ACTIONS(143), + [aux_sym_null_hint_token3] = ACTIONS(143), + [aux_sym_null_hint_token5] = ACTIONS(143), + [aux_sym__function_language_token1] = ACTIONS(143), + [aux_sym_create_function_parameter_token1] = ACTIONS(149), + [anon_sym_EQ] = ACTIONS(143), + [aux_sym_drop_statement_token1] = ACTIONS(143), + [aux_sym_grant_statement_token1] = ACTIONS(143), + [aux_sym_grant_statement_token4] = ACTIONS(143), + [aux_sym_grant_statement_token5] = ACTIONS(143), + [aux_sym_grant_statement_token6] = ACTIONS(143), + [aux_sym_grant_statement_token12] = ACTIONS(143), + [aux_sym_order_by_clause_token1] = ACTIONS(143), + [aux_sym_limit_clause_token1] = ACTIONS(143), + [aux_sym_is_expression_token1] = ACTIONS(143), + [aux_sym_boolean_expression_token1] = ACTIONS(143), + [aux_sym_boolean_expression_token2] = ACTIONS(149), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(143), + [anon_sym_DASH_GT] = ACTIONS(149), + [anon_sym_DASH_GT_GT] = ACTIONS(143), + [anon_sym_POUND_GT] = ACTIONS(149), + [anon_sym_POUND_GT_GT] = ACTIONS(143), + [aux_sym_type_token2] = ACTIONS(151), + [aux_sym_type_token3] = ACTIONS(153), + [anon_sym_LBRACK] = ACTIONS(143), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(143), + [anon_sym_DASH] = ACTIONS(149), + [anon_sym_TILDE] = ACTIONS(149), + [anon_sym_CARET] = ACTIONS(143), + [anon_sym_STAR] = ACTIONS(143), + [anon_sym_SLASH] = ACTIONS(149), + [anon_sym_PERCENT] = ACTIONS(143), + [anon_sym_LT_LT] = ACTIONS(143), + [anon_sym_GT_GT] = ACTIONS(143), + [anon_sym_AMP] = ACTIONS(143), + [anon_sym_PIPE] = ACTIONS(143), + [anon_sym_POUND] = ACTIONS(149), + [anon_sym_LT] = ACTIONS(149), + [anon_sym_LT_EQ] = ACTIONS(143), + [anon_sym_LT_GT] = ACTIONS(143), + [anon_sym_GT] = ACTIONS(149), + [anon_sym_GT_EQ] = ACTIONS(143), + [anon_sym_BANG_TILDE] = ACTIONS(149), + [anon_sym_TILDE_STAR] = ACTIONS(143), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(143), + }, + [22] = { + [ts_builtin_sym_end] = ACTIONS(155), + [anon_sym_SEMI] = ACTIONS(155), + [aux_sym_begin_statement_token1] = ACTIONS(157), + [aux_sym_commit_statement_token1] = ACTIONS(157), + [aux_sym_rollback_statement_token1] = ACTIONS(157), + [aux_sym_with_clause_token1] = ACTIONS(157), + [anon_sym_COMMA] = ACTIONS(155), + [aux_sym_cte_token1] = ACTIONS(157), + [aux_sym_cte_token2] = ACTIONS(157), + [anon_sym_LPAREN] = ACTIONS(155), + [aux_sym_insert_statement_token1] = ACTIONS(157), + [aux_sym_create_statement_token1] = ACTIONS(157), + [aux_sym_alter_statement_token1] = ACTIONS(157), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(157), + [aux_sym_pg_command_token1] = ACTIONS(155), + [aux_sym_create_function_parameter_token1] = ACTIONS(157), + [anon_sym_EQ] = ACTIONS(155), + [aux_sym_drop_statement_token1] = ACTIONS(157), + [aux_sym_grant_statement_token1] = ACTIONS(157), + [aux_sym_grant_statement_token4] = ACTIONS(157), + [aux_sym_grant_statement_token5] = ACTIONS(157), + [aux_sym_grant_statement_token6] = ACTIONS(157), + [aux_sym_grant_statement_token12] = ACTIONS(157), + [aux_sym_order_by_clause_token1] = ACTIONS(157), + [aux_sym_limit_clause_token1] = ACTIONS(157), + [aux_sym_where_clause_token1] = ACTIONS(157), + [aux_sym_from_clause_token1] = ACTIONS(157), + [aux_sym_join_type_token1] = ACTIONS(157), + [aux_sym_join_type_token2] = ACTIONS(157), + [aux_sym_join_type_token3] = ACTIONS(157), + [aux_sym_join_type_token4] = ACTIONS(157), + [aux_sym_join_clause_token1] = ACTIONS(157), + [aux_sym_is_expression_token1] = ACTIONS(157), + [aux_sym_boolean_expression_token1] = ACTIONS(157), + [aux_sym_boolean_expression_token2] = ACTIONS(157), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(157), + [sym__unquoted_identifier] = ACTIONS(157), + [anon_sym_BQUOTE] = ACTIONS(155), + [anon_sym_DQUOTE] = ACTIONS(155), + [anon_sym_DOT] = ACTIONS(155), + [anon_sym_DASH_GT] = ACTIONS(157), + [anon_sym_DASH_GT_GT] = ACTIONS(155), + [anon_sym_POUND_GT] = ACTIONS(157), + [anon_sym_POUND_GT_GT] = ACTIONS(155), + [aux_sym_type_token1] = ACTIONS(157), + [aux_sym_type_token2] = ACTIONS(157), + [aux_sym_type_token3] = ACTIONS(157), + [anon_sym_LBRACK] = ACTIONS(155), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(155), + [anon_sym_DASH] = ACTIONS(157), + [anon_sym_TILDE] = ACTIONS(157), + [anon_sym_CARET] = ACTIONS(155), + [anon_sym_STAR] = ACTIONS(155), + [anon_sym_SLASH] = ACTIONS(157), + [anon_sym_PERCENT] = ACTIONS(155), + [anon_sym_LT_LT] = ACTIONS(155), + [anon_sym_GT_GT] = ACTIONS(155), + [anon_sym_AMP] = ACTIONS(155), + [anon_sym_PIPE] = ACTIONS(155), + [anon_sym_POUND] = ACTIONS(157), + [anon_sym_LT] = ACTIONS(157), + [anon_sym_LT_EQ] = ACTIONS(155), + [anon_sym_LT_GT] = ACTIONS(155), + [anon_sym_GT] = ACTIONS(157), + [anon_sym_GT_EQ] = ACTIONS(155), + [anon_sym_BANG_TILDE] = ACTIONS(157), + [anon_sym_TILDE_STAR] = ACTIONS(155), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(155), + }, + [23] = { + [ts_builtin_sym_end] = ACTIONS(155), + [anon_sym_SEMI] = ACTIONS(155), + [aux_sym_begin_statement_token1] = ACTIONS(155), + [aux_sym_commit_statement_token1] = ACTIONS(155), + [aux_sym_rollback_statement_token1] = ACTIONS(155), + [aux_sym_with_clause_token1] = ACTIONS(155), + [anon_sym_COMMA] = ACTIONS(155), + [aux_sym_cte_token2] = ACTIONS(155), + [anon_sym_LPAREN] = ACTIONS(155), + [anon_sym_RPAREN] = ACTIONS(155), + [aux_sym_insert_statement_token1] = ACTIONS(155), + [aux_sym_create_statement_token1] = ACTIONS(155), + [aux_sym_alter_statement_token1] = ACTIONS(155), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(155), + [aux_sym_alter_table_action_alter_column_token3] = ACTIONS(155), + [aux_sym_pg_command_token1] = ACTIONS(155), + [aux_sym_null_hint_token2] = ACTIONS(155), + [aux_sym_null_hint_token3] = ACTIONS(155), + [aux_sym_create_function_parameter_token1] = ACTIONS(157), + [anon_sym_EQ] = ACTIONS(155), + [aux_sym_drop_statement_token1] = ACTIONS(155), + [aux_sym_grant_statement_token1] = ACTIONS(155), + [aux_sym_grant_statement_token4] = ACTIONS(155), + [aux_sym_grant_statement_token5] = ACTIONS(155), + [aux_sym_grant_statement_token6] = ACTIONS(155), + [aux_sym_grant_statement_token8] = ACTIONS(155), + [aux_sym_auto_increment_constraint_token1] = ACTIONS(155), + [aux_sym_direction_constraint_token1] = ACTIONS(155), + [aux_sym_direction_constraint_token2] = ACTIONS(155), + [anon_sym_CONSTRAINT] = ACTIONS(155), + [aux_sym_table_constraint_check_token1] = ACTIONS(155), + [aux_sym_table_constraint_unique_token1] = ACTIONS(155), + [aux_sym_table_constraint_primary_key_token1] = ACTIONS(155), + [aux_sym_limit_clause_token1] = ACTIONS(155), + [aux_sym_where_clause_token1] = ACTIONS(155), + [aux_sym_from_clause_token1] = ACTIONS(155), + [aux_sym_is_expression_token1] = ACTIONS(155), + [aux_sym_boolean_expression_token1] = ACTIONS(155), + [aux_sym_boolean_expression_token2] = ACTIONS(155), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(155), + [anon_sym_DOT] = ACTIONS(157), + [anon_sym_DASH_GT] = ACTIONS(157), + [anon_sym_DASH_GT_GT] = ACTIONS(155), + [anon_sym_POUND_GT] = ACTIONS(157), + [anon_sym_POUND_GT_GT] = ACTIONS(155), + [anon_sym_LBRACK] = ACTIONS(155), + [anon_sym_COLON_COLON] = ACTIONS(155), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(155), + [anon_sym_DASH] = ACTIONS(157), + [anon_sym_TILDE] = ACTIONS(157), + [anon_sym_CARET] = ACTIONS(155), + [anon_sym_STAR] = ACTIONS(155), + [anon_sym_SLASH] = ACTIONS(157), + [anon_sym_PERCENT] = ACTIONS(155), + [anon_sym_LT_LT] = ACTIONS(155), + [anon_sym_GT_GT] = ACTIONS(155), + [anon_sym_AMP] = ACTIONS(155), + [anon_sym_PIPE] = ACTIONS(155), + [anon_sym_POUND] = ACTIONS(157), + [anon_sym_LT] = ACTIONS(157), + [anon_sym_LT_EQ] = ACTIONS(155), + [anon_sym_LT_GT] = ACTIONS(155), + [anon_sym_GT] = ACTIONS(157), + [anon_sym_GT_EQ] = ACTIONS(155), + [anon_sym_BANG_TILDE] = ACTIONS(157), + [anon_sym_TILDE_STAR] = ACTIONS(155), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(155), + [anon_sym_DOT_STAR] = ACTIONS(155), + }, + [24] = { + [ts_builtin_sym_end] = ACTIONS(131), + [anon_sym_SEMI] = ACTIONS(131), + [aux_sym_begin_statement_token1] = ACTIONS(131), + [aux_sym_commit_statement_token1] = ACTIONS(131), + [aux_sym_rollback_statement_token1] = ACTIONS(131), + [aux_sym_with_clause_token1] = ACTIONS(131), + [anon_sym_COMMA] = ACTIONS(131), + [aux_sym_cte_token2] = ACTIONS(131), + [anon_sym_LPAREN] = ACTIONS(131), + [anon_sym_RPAREN] = ACTIONS(131), + [aux_sym_insert_statement_token1] = ACTIONS(131), + [aux_sym_create_statement_token1] = ACTIONS(131), + [aux_sym_alter_statement_token1] = ACTIONS(131), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(131), + [aux_sym_alter_table_action_alter_column_token3] = ACTIONS(131), + [aux_sym_pg_command_token1] = ACTIONS(131), + [aux_sym_null_hint_token2] = ACTIONS(131), + [aux_sym_null_hint_token3] = ACTIONS(131), + [aux_sym_create_function_parameter_token1] = ACTIONS(133), + [anon_sym_EQ] = ACTIONS(131), + [aux_sym_drop_statement_token1] = ACTIONS(131), + [aux_sym_grant_statement_token1] = ACTIONS(131), + [aux_sym_grant_statement_token4] = ACTIONS(131), + [aux_sym_grant_statement_token5] = ACTIONS(131), + [aux_sym_grant_statement_token6] = ACTIONS(131), + [aux_sym_grant_statement_token8] = ACTIONS(131), + [aux_sym_auto_increment_constraint_token1] = ACTIONS(131), + [aux_sym_direction_constraint_token1] = ACTIONS(131), + [aux_sym_direction_constraint_token2] = ACTIONS(131), + [anon_sym_CONSTRAINT] = ACTIONS(131), + [aux_sym_table_constraint_check_token1] = ACTIONS(131), + [aux_sym_table_constraint_unique_token1] = ACTIONS(131), + [aux_sym_table_constraint_primary_key_token1] = ACTIONS(131), + [aux_sym_limit_clause_token1] = ACTIONS(131), + [aux_sym_where_clause_token1] = ACTIONS(131), + [aux_sym_from_clause_token1] = ACTIONS(131), + [aux_sym_is_expression_token1] = ACTIONS(131), + [aux_sym_boolean_expression_token1] = ACTIONS(131), + [aux_sym_boolean_expression_token2] = ACTIONS(131), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(131), + [anon_sym_DOT] = ACTIONS(133), + [anon_sym_DASH_GT] = ACTIONS(133), + [anon_sym_DASH_GT_GT] = ACTIONS(131), + [anon_sym_POUND_GT] = ACTIONS(133), + [anon_sym_POUND_GT_GT] = ACTIONS(131), + [anon_sym_LBRACK] = ACTIONS(131), + [anon_sym_COLON_COLON] = ACTIONS(131), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(131), + [anon_sym_DASH] = ACTIONS(133), + [anon_sym_TILDE] = ACTIONS(133), + [anon_sym_CARET] = ACTIONS(131), + [anon_sym_STAR] = ACTIONS(131), + [anon_sym_SLASH] = ACTIONS(133), + [anon_sym_PERCENT] = ACTIONS(131), + [anon_sym_LT_LT] = ACTIONS(131), + [anon_sym_GT_GT] = ACTIONS(131), + [anon_sym_AMP] = ACTIONS(131), + [anon_sym_PIPE] = ACTIONS(131), + [anon_sym_POUND] = ACTIONS(133), + [anon_sym_LT] = ACTIONS(133), + [anon_sym_LT_EQ] = ACTIONS(131), + [anon_sym_LT_GT] = ACTIONS(131), + [anon_sym_GT] = ACTIONS(133), + [anon_sym_GT_EQ] = ACTIONS(131), + [anon_sym_BANG_TILDE] = ACTIONS(133), + [anon_sym_TILDE_STAR] = ACTIONS(131), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(131), + [anon_sym_DOT_STAR] = ACTIONS(131), + }, + [25] = { + [ts_builtin_sym_end] = ACTIONS(155), + [anon_sym_SEMI] = ACTIONS(155), + [aux_sym_begin_statement_token1] = ACTIONS(157), + [aux_sym_commit_statement_token1] = ACTIONS(157), + [aux_sym_rollback_statement_token1] = ACTIONS(157), + [aux_sym_with_clause_token1] = ACTIONS(157), + [anon_sym_COMMA] = ACTIONS(155), + [aux_sym_cte_token1] = ACTIONS(157), + [aux_sym_cte_token2] = ACTIONS(157), + [anon_sym_LPAREN] = ACTIONS(155), + [aux_sym_insert_statement_token1] = ACTIONS(157), + [aux_sym_create_statement_token1] = ACTIONS(157), + [aux_sym_alter_statement_token1] = ACTIONS(157), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(157), + [aux_sym_pg_command_token1] = ACTIONS(155), + [aux_sym_create_function_parameter_token1] = ACTIONS(157), + [anon_sym_EQ] = ACTIONS(155), + [aux_sym_drop_statement_token1] = ACTIONS(157), + [aux_sym_grant_statement_token1] = ACTIONS(157), + [aux_sym_grant_statement_token4] = ACTIONS(157), + [aux_sym_grant_statement_token5] = ACTIONS(157), + [aux_sym_grant_statement_token6] = ACTIONS(157), + [aux_sym_grant_statement_token12] = ACTIONS(157), + [aux_sym_order_by_clause_token1] = ACTIONS(157), + [aux_sym_limit_clause_token1] = ACTIONS(157), + [aux_sym_where_clause_token1] = ACTIONS(157), + [aux_sym_from_clause_token1] = ACTIONS(157), + [aux_sym_join_type_token1] = ACTIONS(157), + [aux_sym_join_type_token2] = ACTIONS(157), + [aux_sym_join_type_token3] = ACTIONS(157), + [aux_sym_join_type_token4] = ACTIONS(157), + [aux_sym_join_clause_token1] = ACTIONS(157), + [aux_sym_is_expression_token1] = ACTIONS(157), + [aux_sym_boolean_expression_token1] = ACTIONS(157), + [aux_sym_boolean_expression_token2] = ACTIONS(157), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(157), + [sym__unquoted_identifier] = ACTIONS(157), + [anon_sym_BQUOTE] = ACTIONS(155), + [anon_sym_DQUOTE] = ACTIONS(155), + [anon_sym_DOT] = ACTIONS(157), + [anon_sym_DASH_GT] = ACTIONS(157), + [anon_sym_DASH_GT_GT] = ACTIONS(155), + [anon_sym_POUND_GT] = ACTIONS(157), + [anon_sym_POUND_GT_GT] = ACTIONS(155), + [anon_sym_LBRACK] = ACTIONS(155), + [anon_sym_COLON_COLON] = ACTIONS(155), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(155), + [anon_sym_DASH] = ACTIONS(157), + [anon_sym_TILDE] = ACTIONS(157), + [anon_sym_CARET] = ACTIONS(155), + [anon_sym_STAR] = ACTIONS(155), + [anon_sym_SLASH] = ACTIONS(157), + [anon_sym_PERCENT] = ACTIONS(155), + [anon_sym_LT_LT] = ACTIONS(155), + [anon_sym_GT_GT] = ACTIONS(155), + [anon_sym_AMP] = ACTIONS(155), + [anon_sym_PIPE] = ACTIONS(155), + [anon_sym_POUND] = ACTIONS(157), + [anon_sym_LT] = ACTIONS(157), + [anon_sym_LT_EQ] = ACTIONS(155), + [anon_sym_LT_GT] = ACTIONS(155), + [anon_sym_GT] = ACTIONS(157), + [anon_sym_GT_EQ] = ACTIONS(155), + [anon_sym_BANG_TILDE] = ACTIONS(157), + [anon_sym_TILDE_STAR] = ACTIONS(155), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(155), + [anon_sym_DOT_STAR] = ACTIONS(155), + }, + [26] = { + [ts_builtin_sym_end] = ACTIONS(155), + [anon_sym_SEMI] = ACTIONS(155), + [aux_sym_begin_statement_token1] = ACTIONS(155), + [aux_sym_commit_statement_token1] = ACTIONS(155), + [aux_sym_rollback_statement_token1] = ACTIONS(155), + [aux_sym_with_clause_token1] = ACTIONS(157), + [anon_sym_COMMA] = ACTIONS(155), + [aux_sym_cte_token2] = ACTIONS(155), + [anon_sym_LPAREN] = ACTIONS(155), + [aux_sym_insert_statement_token1] = ACTIONS(155), + [aux_sym_create_statement_token1] = ACTIONS(155), + [aux_sym_alter_statement_token1] = ACTIONS(155), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(155), + [aux_sym_alter_table_action_alter_column_token3] = ACTIONS(155), + [aux_sym_pg_command_token1] = ACTIONS(155), + [aux_sym_null_hint_token3] = ACTIONS(155), + [aux_sym_create_function_parameter_token1] = ACTIONS(157), + [anon_sym_EQ] = ACTIONS(155), + [aux_sym_drop_statement_token1] = ACTIONS(155), + [aux_sym_grant_statement_token1] = ACTIONS(155), + [aux_sym_grant_statement_token4] = ACTIONS(155), + [aux_sym_grant_statement_token5] = ACTIONS(155), + [aux_sym_grant_statement_token6] = ACTIONS(155), + [aux_sym_grant_statement_token8] = ACTIONS(155), + [aux_sym_auto_increment_constraint_token1] = ACTIONS(155), + [aux_sym_direction_constraint_token1] = ACTIONS(155), + [aux_sym_direction_constraint_token2] = ACTIONS(155), + [anon_sym_CONSTRAINT] = ACTIONS(155), + [aux_sym_table_constraint_check_token1] = ACTIONS(155), + [aux_sym_table_constraint_unique_token1] = ACTIONS(155), + [aux_sym_table_constraint_primary_key_token1] = ACTIONS(155), + [aux_sym_limit_clause_token1] = ACTIONS(155), + [aux_sym_where_clause_token1] = ACTIONS(155), + [aux_sym_from_clause_token1] = ACTIONS(155), + [aux_sym_is_expression_token1] = ACTIONS(155), + [aux_sym_boolean_expression_token1] = ACTIONS(155), + [aux_sym_boolean_expression_token2] = ACTIONS(155), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(155), + [anon_sym_DOT] = ACTIONS(155), + [anon_sym_DASH_GT] = ACTIONS(157), + [anon_sym_DASH_GT_GT] = ACTIONS(155), + [anon_sym_POUND_GT] = ACTIONS(157), + [anon_sym_POUND_GT_GT] = ACTIONS(155), + [aux_sym_type_token1] = ACTIONS(155), + [aux_sym_type_token2] = ACTIONS(155), + [aux_sym_type_token3] = ACTIONS(155), + [anon_sym_LBRACK] = ACTIONS(155), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(155), + [anon_sym_DASH] = ACTIONS(157), + [anon_sym_TILDE] = ACTIONS(157), + [anon_sym_CARET] = ACTIONS(155), + [anon_sym_STAR] = ACTIONS(155), + [anon_sym_SLASH] = ACTIONS(157), + [anon_sym_PERCENT] = ACTIONS(155), + [anon_sym_LT_LT] = ACTIONS(155), + [anon_sym_GT_GT] = ACTIONS(155), + [anon_sym_AMP] = ACTIONS(155), + [anon_sym_PIPE] = ACTIONS(155), + [anon_sym_POUND] = ACTIONS(157), + [anon_sym_LT] = ACTIONS(157), + [anon_sym_LT_EQ] = ACTIONS(155), + [anon_sym_LT_GT] = ACTIONS(155), + [anon_sym_GT] = ACTIONS(157), + [anon_sym_GT_EQ] = ACTIONS(155), + [anon_sym_BANG_TILDE] = ACTIONS(157), + [anon_sym_TILDE_STAR] = ACTIONS(155), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(155), + }, + [27] = { + [ts_builtin_sym_end] = ACTIONS(159), + [anon_sym_SEMI] = ACTIONS(159), + [aux_sym_begin_statement_token1] = ACTIONS(159), + [aux_sym_commit_statement_token1] = ACTIONS(159), + [aux_sym_rollback_statement_token1] = ACTIONS(159), + [aux_sym_with_clause_token1] = ACTIONS(161), + [anon_sym_COMMA] = ACTIONS(159), + [aux_sym_cte_token1] = ACTIONS(159), + [aux_sym_cte_token2] = ACTIONS(159), + [anon_sym_LPAREN] = ACTIONS(163), + [aux_sym_insert_statement_token1] = ACTIONS(159), + [aux_sym_create_statement_token1] = ACTIONS(159), + [aux_sym_alter_statement_token1] = ACTIONS(159), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(159), + [aux_sym_pg_command_token1] = ACTIONS(159), + [aux_sym_create_function_statement_token3] = ACTIONS(159), + [aux_sym_optimizer_hint_token1] = ACTIONS(159), + [aux_sym_optimizer_hint_token2] = ACTIONS(159), + [aux_sym_optimizer_hint_token3] = ACTIONS(159), + [aux_sym_parallel_hint_token1] = ACTIONS(159), + [aux_sym_parallel_hint_token2] = ACTIONS(159), + [aux_sym_parallel_hint_token3] = ACTIONS(159), + [aux_sym_parallel_hint_token4] = ACTIONS(159), + [aux_sym_null_hint_token1] = ACTIONS(159), + [aux_sym_null_hint_token3] = ACTIONS(159), + [aux_sym_null_hint_token5] = ACTIONS(159), + [aux_sym__function_language_token1] = ACTIONS(159), + [aux_sym_create_function_parameter_token1] = ACTIONS(165), + [anon_sym_EQ] = ACTIONS(159), + [aux_sym_drop_statement_token1] = ACTIONS(159), + [aux_sym_grant_statement_token1] = ACTIONS(159), + [aux_sym_grant_statement_token4] = ACTIONS(159), + [aux_sym_grant_statement_token5] = ACTIONS(159), + [aux_sym_grant_statement_token6] = ACTIONS(159), + [aux_sym_grant_statement_token12] = ACTIONS(159), + [aux_sym_order_by_clause_token1] = ACTIONS(159), + [aux_sym_limit_clause_token1] = ACTIONS(159), + [aux_sym_is_expression_token1] = ACTIONS(159), + [aux_sym_boolean_expression_token1] = ACTIONS(159), + [aux_sym_boolean_expression_token2] = ACTIONS(165), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(159), + [anon_sym_DASH_GT] = ACTIONS(165), + [anon_sym_DASH_GT_GT] = ACTIONS(159), + [anon_sym_POUND_GT] = ACTIONS(165), + [anon_sym_POUND_GT_GT] = ACTIONS(159), + [aux_sym_type_token3] = ACTIONS(167), + [anon_sym_LBRACK] = ACTIONS(159), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(159), + [anon_sym_DASH] = ACTIONS(165), + [anon_sym_TILDE] = ACTIONS(165), + [anon_sym_CARET] = ACTIONS(159), + [anon_sym_STAR] = ACTIONS(159), + [anon_sym_SLASH] = ACTIONS(165), + [anon_sym_PERCENT] = ACTIONS(159), + [anon_sym_LT_LT] = ACTIONS(159), + [anon_sym_GT_GT] = ACTIONS(159), + [anon_sym_AMP] = ACTIONS(159), + [anon_sym_PIPE] = ACTIONS(159), + [anon_sym_POUND] = ACTIONS(165), + [anon_sym_LT] = ACTIONS(165), + [anon_sym_LT_EQ] = ACTIONS(159), + [anon_sym_LT_GT] = ACTIONS(159), + [anon_sym_GT] = ACTIONS(165), + [anon_sym_GT_EQ] = ACTIONS(159), + [anon_sym_BANG_TILDE] = ACTIONS(165), + [anon_sym_TILDE_STAR] = ACTIONS(159), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(159), + }, + [28] = { + [ts_builtin_sym_end] = ACTIONS(143), + [anon_sym_SEMI] = ACTIONS(143), + [aux_sym_begin_statement_token1] = ACTIONS(143), + [aux_sym_commit_statement_token1] = ACTIONS(143), + [aux_sym_rollback_statement_token1] = ACTIONS(143), + [aux_sym_with_clause_token1] = ACTIONS(145), + [anon_sym_COMMA] = ACTIONS(143), + [aux_sym_cte_token1] = ACTIONS(143), + [aux_sym_cte_token2] = ACTIONS(143), + [anon_sym_LPAREN] = ACTIONS(147), + [aux_sym_insert_statement_token1] = ACTIONS(143), + [aux_sym_create_statement_token1] = ACTIONS(143), + [aux_sym_alter_statement_token1] = ACTIONS(143), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(143), + [aux_sym_pg_command_token1] = ACTIONS(143), + [aux_sym_create_function_statement_token3] = ACTIONS(143), + [aux_sym_optimizer_hint_token1] = ACTIONS(143), + [aux_sym_optimizer_hint_token2] = ACTIONS(143), + [aux_sym_optimizer_hint_token3] = ACTIONS(143), + [aux_sym_parallel_hint_token1] = ACTIONS(143), + [aux_sym_parallel_hint_token2] = ACTIONS(143), + [aux_sym_parallel_hint_token3] = ACTIONS(143), + [aux_sym_parallel_hint_token4] = ACTIONS(143), + [aux_sym_null_hint_token1] = ACTIONS(143), + [aux_sym_null_hint_token3] = ACTIONS(143), + [aux_sym_null_hint_token5] = ACTIONS(143), + [aux_sym__function_language_token1] = ACTIONS(143), + [aux_sym_create_function_parameter_token1] = ACTIONS(149), + [anon_sym_EQ] = ACTIONS(143), + [aux_sym_drop_statement_token1] = ACTIONS(143), + [aux_sym_grant_statement_token1] = ACTIONS(143), + [aux_sym_grant_statement_token4] = ACTIONS(143), + [aux_sym_grant_statement_token5] = ACTIONS(143), + [aux_sym_grant_statement_token6] = ACTIONS(143), + [aux_sym_grant_statement_token12] = ACTIONS(143), + [aux_sym_order_by_clause_token1] = ACTIONS(143), + [aux_sym_limit_clause_token1] = ACTIONS(143), + [aux_sym_is_expression_token1] = ACTIONS(143), + [aux_sym_boolean_expression_token1] = ACTIONS(143), + [aux_sym_boolean_expression_token2] = ACTIONS(149), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(143), + [anon_sym_DASH_GT] = ACTIONS(149), + [anon_sym_DASH_GT_GT] = ACTIONS(143), + [anon_sym_POUND_GT] = ACTIONS(149), + [anon_sym_POUND_GT_GT] = ACTIONS(143), + [aux_sym_type_token3] = ACTIONS(153), + [anon_sym_LBRACK] = ACTIONS(143), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(143), + [anon_sym_DASH] = ACTIONS(149), + [anon_sym_TILDE] = ACTIONS(149), + [anon_sym_CARET] = ACTIONS(143), + [anon_sym_STAR] = ACTIONS(143), + [anon_sym_SLASH] = ACTIONS(149), + [anon_sym_PERCENT] = ACTIONS(143), + [anon_sym_LT_LT] = ACTIONS(143), + [anon_sym_GT_GT] = ACTIONS(143), + [anon_sym_AMP] = ACTIONS(143), + [anon_sym_PIPE] = ACTIONS(143), + [anon_sym_POUND] = ACTIONS(149), + [anon_sym_LT] = ACTIONS(149), + [anon_sym_LT_EQ] = ACTIONS(143), + [anon_sym_LT_GT] = ACTIONS(143), + [anon_sym_GT] = ACTIONS(149), + [anon_sym_GT_EQ] = ACTIONS(143), + [anon_sym_BANG_TILDE] = ACTIONS(149), + [anon_sym_TILDE_STAR] = ACTIONS(143), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(143), + }, + [29] = { + [ts_builtin_sym_end] = ACTIONS(99), + [anon_sym_SEMI] = ACTIONS(99), + [aux_sym_begin_statement_token1] = ACTIONS(105), + [aux_sym_commit_statement_token1] = ACTIONS(105), + [aux_sym_rollback_statement_token1] = ACTIONS(105), + [aux_sym_with_clause_token1] = ACTIONS(169), + [anon_sym_COMMA] = ACTIONS(99), + [aux_sym_cte_token1] = ACTIONS(105), + [aux_sym_cte_token2] = ACTIONS(105), + [anon_sym_LPAREN] = ACTIONS(171), + [aux_sym_insert_statement_token1] = ACTIONS(105), + [aux_sym_create_statement_token1] = ACTIONS(105), + [aux_sym_alter_statement_token1] = ACTIONS(105), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(105), + [aux_sym_pg_command_token1] = ACTIONS(99), + [aux_sym_create_function_parameter_token1] = ACTIONS(105), + [anon_sym_EQ] = ACTIONS(99), + [aux_sym_drop_statement_token1] = ACTIONS(105), + [aux_sym_grant_statement_token1] = ACTIONS(105), + [aux_sym_grant_statement_token4] = ACTIONS(105), + [aux_sym_grant_statement_token5] = ACTIONS(105), + [aux_sym_grant_statement_token6] = ACTIONS(105), + [aux_sym_grant_statement_token12] = ACTIONS(105), + [aux_sym_order_by_clause_token1] = ACTIONS(105), + [aux_sym_limit_clause_token1] = ACTIONS(105), + [aux_sym_where_clause_token1] = ACTIONS(105), + [aux_sym_from_clause_token1] = ACTIONS(105), + [aux_sym_join_type_token1] = ACTIONS(105), + [aux_sym_join_type_token2] = ACTIONS(105), + [aux_sym_join_type_token3] = ACTIONS(105), + [aux_sym_join_type_token4] = ACTIONS(105), + [aux_sym_join_clause_token1] = ACTIONS(105), + [aux_sym_is_expression_token1] = ACTIONS(105), + [aux_sym_boolean_expression_token1] = ACTIONS(105), + [aux_sym_boolean_expression_token2] = ACTIONS(105), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(105), + [sym__unquoted_identifier] = ACTIONS(105), + [anon_sym_BQUOTE] = ACTIONS(99), + [anon_sym_DQUOTE] = ACTIONS(99), + [anon_sym_DASH_GT] = ACTIONS(105), + [anon_sym_DASH_GT_GT] = ACTIONS(99), + [anon_sym_POUND_GT] = ACTIONS(105), + [anon_sym_POUND_GT_GT] = ACTIONS(99), + [aux_sym_type_token1] = ACTIONS(173), + [aux_sym_type_token2] = ACTIONS(175), + [aux_sym_type_token3] = ACTIONS(177), + [anon_sym_LBRACK] = ACTIONS(99), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(99), + [anon_sym_DASH] = ACTIONS(105), + [anon_sym_TILDE] = ACTIONS(105), + [anon_sym_CARET] = ACTIONS(99), + [anon_sym_STAR] = ACTIONS(99), + [anon_sym_SLASH] = ACTIONS(105), + [anon_sym_PERCENT] = ACTIONS(99), + [anon_sym_LT_LT] = ACTIONS(99), + [anon_sym_GT_GT] = ACTIONS(99), + [anon_sym_AMP] = ACTIONS(99), + [anon_sym_PIPE] = ACTIONS(99), + [anon_sym_POUND] = ACTIONS(105), + [anon_sym_LT] = ACTIONS(105), + [anon_sym_LT_EQ] = ACTIONS(99), + [anon_sym_LT_GT] = ACTIONS(99), + [anon_sym_GT] = ACTIONS(105), + [anon_sym_GT_EQ] = ACTIONS(99), + [anon_sym_BANG_TILDE] = ACTIONS(105), + [anon_sym_TILDE_STAR] = ACTIONS(99), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(99), + }, + [30] = { + [ts_builtin_sym_end] = ACTIONS(131), + [anon_sym_SEMI] = ACTIONS(131), + [aux_sym_begin_statement_token1] = ACTIONS(133), + [aux_sym_commit_statement_token1] = ACTIONS(133), + [aux_sym_rollback_statement_token1] = ACTIONS(133), + [aux_sym_with_clause_token1] = ACTIONS(133), + [anon_sym_COMMA] = ACTIONS(131), + [aux_sym_cte_token1] = ACTIONS(133), + [aux_sym_cte_token2] = ACTIONS(133), + [anon_sym_LPAREN] = ACTIONS(131), + [aux_sym_insert_statement_token1] = ACTIONS(133), + [aux_sym_create_statement_token1] = ACTIONS(133), + [aux_sym_alter_statement_token1] = ACTIONS(133), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(133), + [aux_sym_pg_command_token1] = ACTIONS(131), + [aux_sym_create_function_parameter_token1] = ACTIONS(133), + [anon_sym_EQ] = ACTIONS(131), + [aux_sym_drop_statement_token1] = ACTIONS(133), + [aux_sym_grant_statement_token1] = ACTIONS(133), + [aux_sym_grant_statement_token4] = ACTIONS(133), + [aux_sym_grant_statement_token5] = ACTIONS(133), + [aux_sym_grant_statement_token6] = ACTIONS(133), + [aux_sym_grant_statement_token12] = ACTIONS(133), + [aux_sym_order_by_clause_token1] = ACTIONS(133), + [aux_sym_limit_clause_token1] = ACTIONS(133), + [aux_sym_where_clause_token1] = ACTIONS(133), + [aux_sym_join_type_token1] = ACTIONS(133), + [aux_sym_join_type_token2] = ACTIONS(133), + [aux_sym_join_type_token3] = ACTIONS(133), + [aux_sym_join_type_token4] = ACTIONS(133), + [aux_sym_join_clause_token1] = ACTIONS(133), + [aux_sym_is_expression_token1] = ACTIONS(133), + [aux_sym_boolean_expression_token1] = ACTIONS(133), + [aux_sym_boolean_expression_token2] = ACTIONS(133), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(133), + [sym__unquoted_identifier] = ACTIONS(133), + [anon_sym_BQUOTE] = ACTIONS(131), + [anon_sym_DQUOTE] = ACTIONS(131), + [anon_sym_DOT] = ACTIONS(131), + [anon_sym_DASH_GT] = ACTIONS(133), + [anon_sym_DASH_GT_GT] = ACTIONS(131), + [anon_sym_POUND_GT] = ACTIONS(133), + [anon_sym_POUND_GT_GT] = ACTIONS(131), + [aux_sym_type_token1] = ACTIONS(133), + [aux_sym_type_token2] = ACTIONS(133), + [aux_sym_type_token3] = ACTIONS(133), + [anon_sym_LBRACK] = ACTIONS(131), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(131), + [anon_sym_DASH] = ACTIONS(133), + [anon_sym_TILDE] = ACTIONS(133), + [anon_sym_CARET] = ACTIONS(131), + [anon_sym_STAR] = ACTIONS(131), + [anon_sym_SLASH] = ACTIONS(133), + [anon_sym_PERCENT] = ACTIONS(131), + [anon_sym_LT_LT] = ACTIONS(131), + [anon_sym_GT_GT] = ACTIONS(131), + [anon_sym_AMP] = ACTIONS(131), + [anon_sym_PIPE] = ACTIONS(131), + [anon_sym_POUND] = ACTIONS(133), + [anon_sym_LT] = ACTIONS(133), + [anon_sym_LT_EQ] = ACTIONS(131), + [anon_sym_LT_GT] = ACTIONS(131), + [anon_sym_GT] = ACTIONS(133), + [anon_sym_GT_EQ] = ACTIONS(131), + [anon_sym_BANG_TILDE] = ACTIONS(133), + [anon_sym_TILDE_STAR] = ACTIONS(131), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(131), + }, + [31] = { + [ts_builtin_sym_end] = ACTIONS(92), + [anon_sym_SEMI] = ACTIONS(92), + [aux_sym_begin_statement_token1] = ACTIONS(94), + [aux_sym_commit_statement_token1] = ACTIONS(94), + [aux_sym_rollback_statement_token1] = ACTIONS(94), + [aux_sym_with_clause_token1] = ACTIONS(94), + [anon_sym_COMMA] = ACTIONS(92), + [aux_sym_cte_token1] = ACTIONS(94), + [aux_sym_cte_token2] = ACTIONS(94), + [anon_sym_LPAREN] = ACTIONS(92), + [anon_sym_RPAREN] = ACTIONS(92), + [aux_sym_insert_statement_token1] = ACTIONS(94), + [aux_sym_create_statement_token1] = ACTIONS(94), + [aux_sym_alter_statement_token1] = ACTIONS(94), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(94), + [aux_sym_pg_command_token1] = ACTIONS(92), + [aux_sym_create_function_parameter_token1] = ACTIONS(94), + [anon_sym_EQ] = ACTIONS(92), + [aux_sym_drop_statement_token1] = ACTIONS(94), + [aux_sym_grant_statement_token1] = ACTIONS(94), + [aux_sym_grant_statement_token4] = ACTIONS(94), + [aux_sym_grant_statement_token5] = ACTIONS(94), + [aux_sym_grant_statement_token6] = ACTIONS(94), + [aux_sym_grant_statement_token12] = ACTIONS(94), + [aux_sym_order_by_clause_token1] = ACTIONS(94), + [aux_sym_limit_clause_token1] = ACTIONS(94), + [aux_sym_where_clause_token1] = ACTIONS(94), + [aux_sym_from_clause_token1] = ACTIONS(94), + [aux_sym_join_type_token1] = ACTIONS(94), + [aux_sym_join_type_token2] = ACTIONS(94), + [aux_sym_join_type_token3] = ACTIONS(94), + [aux_sym_join_type_token4] = ACTIONS(94), + [aux_sym_join_clause_token1] = ACTIONS(94), + [aux_sym_is_expression_token1] = ACTIONS(94), + [aux_sym_boolean_expression_token1] = ACTIONS(94), + [aux_sym_boolean_expression_token2] = ACTIONS(94), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(94), + [sym__unquoted_identifier] = ACTIONS(94), + [anon_sym_BQUOTE] = ACTIONS(92), + [anon_sym_DQUOTE] = ACTIONS(92), + [anon_sym_DOT] = ACTIONS(94), + [anon_sym_DASH_GT] = ACTIONS(94), + [anon_sym_DASH_GT_GT] = ACTIONS(92), + [anon_sym_POUND_GT] = ACTIONS(94), + [anon_sym_POUND_GT_GT] = ACTIONS(92), + [anon_sym_COLON_COLON] = ACTIONS(92), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(92), + [anon_sym_DASH] = ACTIONS(94), + [anon_sym_TILDE] = ACTIONS(94), + [anon_sym_CARET] = ACTIONS(92), + [anon_sym_STAR] = ACTIONS(92), + [anon_sym_SLASH] = ACTIONS(94), + [anon_sym_PERCENT] = ACTIONS(92), + [anon_sym_LT_LT] = ACTIONS(92), + [anon_sym_GT_GT] = ACTIONS(92), + [anon_sym_AMP] = ACTIONS(92), + [anon_sym_PIPE] = ACTIONS(92), + [anon_sym_POUND] = ACTIONS(94), + [anon_sym_LT] = ACTIONS(94), + [anon_sym_LT_EQ] = ACTIONS(92), + [anon_sym_LT_GT] = ACTIONS(92), + [anon_sym_GT] = ACTIONS(94), + [anon_sym_GT_EQ] = ACTIONS(92), + [anon_sym_BANG_TILDE] = ACTIONS(94), + [anon_sym_TILDE_STAR] = ACTIONS(92), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(92), + [anon_sym_DOT_STAR] = ACTIONS(92), + }, + [32] = { + [ts_builtin_sym_end] = ACTIONS(131), + [anon_sym_SEMI] = ACTIONS(131), + [aux_sym_begin_statement_token1] = ACTIONS(131), + [aux_sym_commit_statement_token1] = ACTIONS(131), + [aux_sym_rollback_statement_token1] = ACTIONS(131), + [aux_sym_with_clause_token1] = ACTIONS(133), + [anon_sym_COMMA] = ACTIONS(131), + [aux_sym_cte_token2] = ACTIONS(131), + [anon_sym_LPAREN] = ACTIONS(131), + [aux_sym_insert_statement_token1] = ACTIONS(131), + [aux_sym_create_statement_token1] = ACTIONS(131), + [aux_sym_alter_statement_token1] = ACTIONS(131), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(131), + [aux_sym_alter_table_action_alter_column_token3] = ACTIONS(131), + [aux_sym_pg_command_token1] = ACTIONS(131), + [aux_sym_null_hint_token3] = ACTIONS(131), + [aux_sym_create_function_parameter_token1] = ACTIONS(133), + [anon_sym_EQ] = ACTIONS(131), + [aux_sym_drop_statement_token1] = ACTIONS(131), + [aux_sym_grant_statement_token1] = ACTIONS(131), + [aux_sym_grant_statement_token4] = ACTIONS(131), + [aux_sym_grant_statement_token5] = ACTIONS(131), + [aux_sym_grant_statement_token6] = ACTIONS(131), + [aux_sym_grant_statement_token8] = ACTIONS(131), + [aux_sym_auto_increment_constraint_token1] = ACTIONS(131), + [aux_sym_direction_constraint_token1] = ACTIONS(131), + [aux_sym_direction_constraint_token2] = ACTIONS(131), + [anon_sym_CONSTRAINT] = ACTIONS(131), + [aux_sym_table_constraint_check_token1] = ACTIONS(131), + [aux_sym_table_constraint_unique_token1] = ACTIONS(131), + [aux_sym_table_constraint_primary_key_token1] = ACTIONS(131), + [aux_sym_limit_clause_token1] = ACTIONS(131), + [aux_sym_where_clause_token1] = ACTIONS(131), + [aux_sym_from_clause_token1] = ACTIONS(131), + [aux_sym_is_expression_token1] = ACTIONS(131), + [aux_sym_boolean_expression_token1] = ACTIONS(131), + [aux_sym_boolean_expression_token2] = ACTIONS(131), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(131), + [anon_sym_DOT] = ACTIONS(131), + [anon_sym_DASH_GT] = ACTIONS(133), + [anon_sym_DASH_GT_GT] = ACTIONS(131), + [anon_sym_POUND_GT] = ACTIONS(133), + [anon_sym_POUND_GT_GT] = ACTIONS(131), + [aux_sym_type_token1] = ACTIONS(131), + [aux_sym_type_token2] = ACTIONS(131), + [aux_sym_type_token3] = ACTIONS(131), + [anon_sym_LBRACK] = ACTIONS(131), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(131), + [anon_sym_DASH] = ACTIONS(133), + [anon_sym_TILDE] = ACTIONS(133), + [anon_sym_CARET] = ACTIONS(131), + [anon_sym_STAR] = ACTIONS(131), + [anon_sym_SLASH] = ACTIONS(133), + [anon_sym_PERCENT] = ACTIONS(131), + [anon_sym_LT_LT] = ACTIONS(131), + [anon_sym_GT_GT] = ACTIONS(131), + [anon_sym_AMP] = ACTIONS(131), + [anon_sym_PIPE] = ACTIONS(131), + [anon_sym_POUND] = ACTIONS(133), + [anon_sym_LT] = ACTIONS(133), + [anon_sym_LT_EQ] = ACTIONS(131), + [anon_sym_LT_GT] = ACTIONS(131), + [anon_sym_GT] = ACTIONS(133), + [anon_sym_GT_EQ] = ACTIONS(131), + [anon_sym_BANG_TILDE] = ACTIONS(133), + [anon_sym_TILDE_STAR] = ACTIONS(131), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(131), + }, + [33] = { + [ts_builtin_sym_end] = ACTIONS(131), + [anon_sym_SEMI] = ACTIONS(131), + [aux_sym_begin_statement_token1] = ACTIONS(133), + [aux_sym_commit_statement_token1] = ACTIONS(133), + [aux_sym_rollback_statement_token1] = ACTIONS(133), + [aux_sym_with_clause_token1] = ACTIONS(133), + [anon_sym_COMMA] = ACTIONS(131), + [aux_sym_cte_token1] = ACTIONS(133), + [aux_sym_cte_token2] = ACTIONS(133), + [anon_sym_LPAREN] = ACTIONS(131), + [aux_sym_insert_statement_token1] = ACTIONS(133), + [aux_sym_create_statement_token1] = ACTIONS(133), + [aux_sym_alter_statement_token1] = ACTIONS(133), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(133), + [aux_sym_pg_command_token1] = ACTIONS(131), + [aux_sym_create_function_parameter_token1] = ACTIONS(133), + [anon_sym_EQ] = ACTIONS(131), + [aux_sym_drop_statement_token1] = ACTIONS(133), + [aux_sym_grant_statement_token1] = ACTIONS(133), + [aux_sym_grant_statement_token4] = ACTIONS(133), + [aux_sym_grant_statement_token5] = ACTIONS(133), + [aux_sym_grant_statement_token6] = ACTIONS(133), + [aux_sym_grant_statement_token12] = ACTIONS(133), + [aux_sym_order_by_clause_token1] = ACTIONS(133), + [aux_sym_limit_clause_token1] = ACTIONS(133), + [aux_sym_where_clause_token1] = ACTIONS(133), + [aux_sym_from_clause_token1] = ACTIONS(133), + [aux_sym_join_type_token1] = ACTIONS(133), + [aux_sym_join_type_token2] = ACTIONS(133), + [aux_sym_join_type_token3] = ACTIONS(133), + [aux_sym_join_type_token4] = ACTIONS(133), + [aux_sym_join_clause_token1] = ACTIONS(133), + [aux_sym_is_expression_token1] = ACTIONS(133), + [aux_sym_boolean_expression_token1] = ACTIONS(133), + [aux_sym_boolean_expression_token2] = ACTIONS(133), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(133), + [sym__unquoted_identifier] = ACTIONS(133), + [anon_sym_BQUOTE] = ACTIONS(131), + [anon_sym_DQUOTE] = ACTIONS(131), + [anon_sym_DOT] = ACTIONS(133), + [anon_sym_DASH_GT] = ACTIONS(133), + [anon_sym_DASH_GT_GT] = ACTIONS(131), + [anon_sym_POUND_GT] = ACTIONS(133), + [anon_sym_POUND_GT_GT] = ACTIONS(131), + [anon_sym_LBRACK] = ACTIONS(131), + [anon_sym_COLON_COLON] = ACTIONS(131), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(131), + [anon_sym_DASH] = ACTIONS(133), + [anon_sym_TILDE] = ACTIONS(133), + [anon_sym_CARET] = ACTIONS(131), + [anon_sym_STAR] = ACTIONS(131), + [anon_sym_SLASH] = ACTIONS(133), + [anon_sym_PERCENT] = ACTIONS(131), + [anon_sym_LT_LT] = ACTIONS(131), + [anon_sym_GT_GT] = ACTIONS(131), + [anon_sym_AMP] = ACTIONS(131), + [anon_sym_PIPE] = ACTIONS(131), + [anon_sym_POUND] = ACTIONS(133), + [anon_sym_LT] = ACTIONS(133), + [anon_sym_LT_EQ] = ACTIONS(131), + [anon_sym_LT_GT] = ACTIONS(131), + [anon_sym_GT] = ACTIONS(133), + [anon_sym_GT_EQ] = ACTIONS(131), + [anon_sym_BANG_TILDE] = ACTIONS(133), + [anon_sym_TILDE_STAR] = ACTIONS(131), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(131), + [anon_sym_DOT_STAR] = ACTIONS(131), + }, + [34] = { + [ts_builtin_sym_end] = ACTIONS(92), + [anon_sym_SEMI] = ACTIONS(92), + [aux_sym_begin_statement_token1] = ACTIONS(94), + [aux_sym_commit_statement_token1] = ACTIONS(94), + [aux_sym_rollback_statement_token1] = ACTIONS(94), + [aux_sym_with_clause_token1] = ACTIONS(94), + [anon_sym_COMMA] = ACTIONS(92), + [aux_sym_cte_token1] = ACTIONS(94), + [aux_sym_cte_token2] = ACTIONS(94), + [anon_sym_LPAREN] = ACTIONS(92), + [aux_sym_insert_statement_token1] = ACTIONS(94), + [aux_sym_create_statement_token1] = ACTIONS(94), + [aux_sym_alter_statement_token1] = ACTIONS(94), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(94), + [aux_sym_pg_command_token1] = ACTIONS(92), + [aux_sym_create_function_parameter_token1] = ACTIONS(94), + [anon_sym_EQ] = ACTIONS(92), + [aux_sym_drop_statement_token1] = ACTIONS(94), + [aux_sym_grant_statement_token1] = ACTIONS(94), + [aux_sym_grant_statement_token4] = ACTIONS(94), + [aux_sym_grant_statement_token5] = ACTIONS(94), + [aux_sym_grant_statement_token6] = ACTIONS(94), + [aux_sym_grant_statement_token12] = ACTIONS(94), + [aux_sym_order_by_clause_token1] = ACTIONS(94), + [aux_sym_limit_clause_token1] = ACTIONS(94), + [aux_sym_where_clause_token1] = ACTIONS(94), + [aux_sym_join_type_token1] = ACTIONS(94), + [aux_sym_join_type_token2] = ACTIONS(94), + [aux_sym_join_type_token3] = ACTIONS(94), + [aux_sym_join_type_token4] = ACTIONS(94), + [aux_sym_join_clause_token1] = ACTIONS(94), + [aux_sym_is_expression_token1] = ACTIONS(94), + [aux_sym_boolean_expression_token1] = ACTIONS(94), + [aux_sym_boolean_expression_token2] = ACTIONS(94), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(94), + [sym__unquoted_identifier] = ACTIONS(94), + [anon_sym_BQUOTE] = ACTIONS(92), + [anon_sym_DQUOTE] = ACTIONS(92), + [anon_sym_DOT] = ACTIONS(92), + [anon_sym_DASH_GT] = ACTIONS(94), + [anon_sym_DASH_GT_GT] = ACTIONS(92), + [anon_sym_POUND_GT] = ACTIONS(94), + [anon_sym_POUND_GT_GT] = ACTIONS(92), + [aux_sym_type_token1] = ACTIONS(94), + [aux_sym_type_token2] = ACTIONS(94), + [aux_sym_type_token3] = ACTIONS(94), + [anon_sym_LBRACK] = ACTIONS(92), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(92), + [anon_sym_DASH] = ACTIONS(94), + [anon_sym_TILDE] = ACTIONS(94), + [anon_sym_CARET] = ACTIONS(92), + [anon_sym_STAR] = ACTIONS(92), + [anon_sym_SLASH] = ACTIONS(94), + [anon_sym_PERCENT] = ACTIONS(92), + [anon_sym_LT_LT] = ACTIONS(92), + [anon_sym_GT_GT] = ACTIONS(92), + [anon_sym_AMP] = ACTIONS(92), + [anon_sym_PIPE] = ACTIONS(92), + [anon_sym_POUND] = ACTIONS(94), + [anon_sym_LT] = ACTIONS(94), + [anon_sym_LT_EQ] = ACTIONS(92), + [anon_sym_LT_GT] = ACTIONS(92), + [anon_sym_GT] = ACTIONS(94), + [anon_sym_GT_EQ] = ACTIONS(92), + [anon_sym_BANG_TILDE] = ACTIONS(94), + [anon_sym_TILDE_STAR] = ACTIONS(92), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(92), + }, + [35] = { + [ts_builtin_sym_end] = ACTIONS(92), + [anon_sym_SEMI] = ACTIONS(92), + [aux_sym_begin_statement_token1] = ACTIONS(92), + [aux_sym_commit_statement_token1] = ACTIONS(92), + [aux_sym_rollback_statement_token1] = ACTIONS(92), + [aux_sym_with_clause_token1] = ACTIONS(94), + [anon_sym_COMMA] = ACTIONS(92), + [aux_sym_cte_token2] = ACTIONS(92), + [anon_sym_LPAREN] = ACTIONS(92), + [aux_sym_insert_statement_token1] = ACTIONS(92), + [aux_sym_create_statement_token1] = ACTIONS(92), + [aux_sym_alter_statement_token1] = ACTIONS(92), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(92), + [aux_sym_alter_table_action_alter_column_token3] = ACTIONS(92), + [aux_sym_pg_command_token1] = ACTIONS(92), + [aux_sym_null_hint_token3] = ACTIONS(92), + [aux_sym_create_function_parameter_token1] = ACTIONS(94), + [anon_sym_EQ] = ACTIONS(92), + [aux_sym_drop_statement_token1] = ACTIONS(92), + [aux_sym_grant_statement_token1] = ACTIONS(92), + [aux_sym_grant_statement_token4] = ACTIONS(92), + [aux_sym_grant_statement_token5] = ACTIONS(92), + [aux_sym_grant_statement_token6] = ACTIONS(92), + [aux_sym_grant_statement_token8] = ACTIONS(92), + [aux_sym_auto_increment_constraint_token1] = ACTIONS(92), + [aux_sym_direction_constraint_token1] = ACTIONS(92), + [aux_sym_direction_constraint_token2] = ACTIONS(92), + [anon_sym_CONSTRAINT] = ACTIONS(92), + [aux_sym_table_constraint_check_token1] = ACTIONS(92), + [aux_sym_table_constraint_unique_token1] = ACTIONS(92), + [aux_sym_table_constraint_primary_key_token1] = ACTIONS(92), + [aux_sym_limit_clause_token1] = ACTIONS(92), + [aux_sym_where_clause_token1] = ACTIONS(92), + [aux_sym_from_clause_token1] = ACTIONS(92), + [aux_sym_is_expression_token1] = ACTIONS(92), + [aux_sym_boolean_expression_token1] = ACTIONS(92), + [aux_sym_boolean_expression_token2] = ACTIONS(92), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(92), + [anon_sym_DOT] = ACTIONS(92), + [anon_sym_DASH_GT] = ACTIONS(94), + [anon_sym_DASH_GT_GT] = ACTIONS(92), + [anon_sym_POUND_GT] = ACTIONS(94), + [anon_sym_POUND_GT_GT] = ACTIONS(92), + [aux_sym_type_token1] = ACTIONS(92), + [aux_sym_type_token2] = ACTIONS(92), + [aux_sym_type_token3] = ACTIONS(92), + [anon_sym_LBRACK] = ACTIONS(92), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(92), + [anon_sym_DASH] = ACTIONS(94), + [anon_sym_TILDE] = ACTIONS(94), + [anon_sym_CARET] = ACTIONS(92), + [anon_sym_STAR] = ACTIONS(92), + [anon_sym_SLASH] = ACTIONS(94), + [anon_sym_PERCENT] = ACTIONS(92), + [anon_sym_LT_LT] = ACTIONS(92), + [anon_sym_GT_GT] = ACTIONS(92), + [anon_sym_AMP] = ACTIONS(92), + [anon_sym_PIPE] = ACTIONS(92), + [anon_sym_POUND] = ACTIONS(94), + [anon_sym_LT] = ACTIONS(94), + [anon_sym_LT_EQ] = ACTIONS(92), + [anon_sym_LT_GT] = ACTIONS(92), + [anon_sym_GT] = ACTIONS(94), + [anon_sym_GT_EQ] = ACTIONS(92), + [anon_sym_BANG_TILDE] = ACTIONS(94), + [anon_sym_TILDE_STAR] = ACTIONS(92), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(92), + }, + [36] = { + [ts_builtin_sym_end] = ACTIONS(155), + [anon_sym_SEMI] = ACTIONS(155), + [aux_sym_begin_statement_token1] = ACTIONS(157), + [aux_sym_commit_statement_token1] = ACTIONS(157), + [aux_sym_rollback_statement_token1] = ACTIONS(157), + [aux_sym_with_clause_token1] = ACTIONS(157), + [anon_sym_COMMA] = ACTIONS(155), + [aux_sym_cte_token1] = ACTIONS(157), + [aux_sym_cte_token2] = ACTIONS(157), + [anon_sym_LPAREN] = ACTIONS(155), + [aux_sym_insert_statement_token1] = ACTIONS(157), + [aux_sym_create_statement_token1] = ACTIONS(157), + [aux_sym_alter_statement_token1] = ACTIONS(157), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(157), + [aux_sym_pg_command_token1] = ACTIONS(155), + [aux_sym_create_function_parameter_token1] = ACTIONS(157), + [anon_sym_EQ] = ACTIONS(155), + [aux_sym_drop_statement_token1] = ACTIONS(157), + [aux_sym_grant_statement_token1] = ACTIONS(157), + [aux_sym_grant_statement_token4] = ACTIONS(157), + [aux_sym_grant_statement_token5] = ACTIONS(157), + [aux_sym_grant_statement_token6] = ACTIONS(157), + [aux_sym_grant_statement_token12] = ACTIONS(157), + [aux_sym_order_by_clause_token1] = ACTIONS(157), + [aux_sym_limit_clause_token1] = ACTIONS(157), + [aux_sym_where_clause_token1] = ACTIONS(157), + [aux_sym_join_type_token1] = ACTIONS(157), + [aux_sym_join_type_token2] = ACTIONS(157), + [aux_sym_join_type_token3] = ACTIONS(157), + [aux_sym_join_type_token4] = ACTIONS(157), + [aux_sym_join_clause_token1] = ACTIONS(157), + [aux_sym_is_expression_token1] = ACTIONS(157), + [aux_sym_boolean_expression_token1] = ACTIONS(157), + [aux_sym_boolean_expression_token2] = ACTIONS(157), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(157), + [sym__unquoted_identifier] = ACTIONS(157), + [anon_sym_BQUOTE] = ACTIONS(155), + [anon_sym_DQUOTE] = ACTIONS(155), + [anon_sym_DOT] = ACTIONS(155), + [anon_sym_DASH_GT] = ACTIONS(157), + [anon_sym_DASH_GT_GT] = ACTIONS(155), + [anon_sym_POUND_GT] = ACTIONS(157), + [anon_sym_POUND_GT_GT] = ACTIONS(155), + [aux_sym_type_token1] = ACTIONS(157), + [aux_sym_type_token2] = ACTIONS(157), + [aux_sym_type_token3] = ACTIONS(157), + [anon_sym_LBRACK] = ACTIONS(155), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(155), + [anon_sym_DASH] = ACTIONS(157), + [anon_sym_TILDE] = ACTIONS(157), + [anon_sym_CARET] = ACTIONS(155), + [anon_sym_STAR] = ACTIONS(155), + [anon_sym_SLASH] = ACTIONS(157), + [anon_sym_PERCENT] = ACTIONS(155), + [anon_sym_LT_LT] = ACTIONS(155), + [anon_sym_GT_GT] = ACTIONS(155), + [anon_sym_AMP] = ACTIONS(155), + [anon_sym_PIPE] = ACTIONS(155), + [anon_sym_POUND] = ACTIONS(157), + [anon_sym_LT] = ACTIONS(157), + [anon_sym_LT_EQ] = ACTIONS(155), + [anon_sym_LT_GT] = ACTIONS(155), + [anon_sym_GT] = ACTIONS(157), + [anon_sym_GT_EQ] = ACTIONS(155), + [anon_sym_BANG_TILDE] = ACTIONS(157), + [anon_sym_TILDE_STAR] = ACTIONS(155), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(155), + }, + [37] = { + [aux_sym_dotted_name_repeat1] = STATE(55), + [ts_builtin_sym_end] = ACTIONS(119), + [anon_sym_SEMI] = ACTIONS(119), + [aux_sym_begin_statement_token1] = ACTIONS(119), + [aux_sym_commit_statement_token1] = ACTIONS(119), + [aux_sym_rollback_statement_token1] = ACTIONS(119), + [aux_sym_with_clause_token1] = ACTIONS(119), + [anon_sym_COMMA] = ACTIONS(119), + [aux_sym_cte_token2] = ACTIONS(119), + [anon_sym_LPAREN] = ACTIONS(179), + [aux_sym_insert_statement_token1] = ACTIONS(119), + [aux_sym_create_statement_token1] = ACTIONS(119), + [aux_sym_alter_statement_token1] = ACTIONS(119), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(119), + [aux_sym_alter_table_action_alter_column_token3] = ACTIONS(119), + [aux_sym_pg_command_token1] = ACTIONS(119), + [aux_sym_null_hint_token3] = ACTIONS(119), + [aux_sym_create_function_parameter_token1] = ACTIONS(121), + [anon_sym_EQ] = ACTIONS(119), + [aux_sym_drop_statement_token1] = ACTIONS(119), + [aux_sym_grant_statement_token1] = ACTIONS(119), + [aux_sym_grant_statement_token4] = ACTIONS(119), + [aux_sym_grant_statement_token5] = ACTIONS(119), + [aux_sym_grant_statement_token6] = ACTIONS(119), + [aux_sym_grant_statement_token8] = ACTIONS(119), + [aux_sym_auto_increment_constraint_token1] = ACTIONS(119), + [aux_sym_direction_constraint_token1] = ACTIONS(119), + [aux_sym_direction_constraint_token2] = ACTIONS(119), + [anon_sym_CONSTRAINT] = ACTIONS(119), + [aux_sym_table_constraint_check_token1] = ACTIONS(119), + [aux_sym_table_constraint_unique_token1] = ACTIONS(119), + [aux_sym_table_constraint_primary_key_token1] = ACTIONS(119), + [aux_sym_limit_clause_token1] = ACTIONS(119), + [aux_sym_where_clause_token1] = ACTIONS(119), + [aux_sym_from_clause_token1] = ACTIONS(119), + [aux_sym_is_expression_token1] = ACTIONS(119), + [aux_sym_boolean_expression_token1] = ACTIONS(119), + [aux_sym_boolean_expression_token2] = ACTIONS(119), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(119), + [anon_sym_DOT] = ACTIONS(181), + [anon_sym_DASH_GT] = ACTIONS(121), + [anon_sym_DASH_GT_GT] = ACTIONS(119), + [anon_sym_POUND_GT] = ACTIONS(121), + [anon_sym_POUND_GT_GT] = ACTIONS(119), + [anon_sym_LBRACK] = ACTIONS(183), + [anon_sym_COLON_COLON] = ACTIONS(119), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(119), + [anon_sym_DASH] = ACTIONS(121), + [anon_sym_TILDE] = ACTIONS(121), + [anon_sym_CARET] = ACTIONS(119), + [anon_sym_STAR] = ACTIONS(119), + [anon_sym_SLASH] = ACTIONS(121), + [anon_sym_PERCENT] = ACTIONS(119), + [anon_sym_LT_LT] = ACTIONS(119), + [anon_sym_GT_GT] = ACTIONS(119), + [anon_sym_AMP] = ACTIONS(119), + [anon_sym_PIPE] = ACTIONS(119), + [anon_sym_POUND] = ACTIONS(121), + [anon_sym_LT] = ACTIONS(121), + [anon_sym_LT_EQ] = ACTIONS(119), + [anon_sym_LT_GT] = ACTIONS(119), + [anon_sym_GT] = ACTIONS(121), + [anon_sym_GT_EQ] = ACTIONS(119), + [anon_sym_BANG_TILDE] = ACTIONS(121), + [anon_sym_TILDE_STAR] = ACTIONS(119), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(119), + [anon_sym_DOT_STAR] = ACTIONS(119), + }, + [38] = { + [aux_sym_dotted_name_repeat1] = STATE(70), + [ts_builtin_sym_end] = ACTIONS(119), + [anon_sym_SEMI] = ACTIONS(119), + [aux_sym_begin_statement_token1] = ACTIONS(121), + [aux_sym_commit_statement_token1] = ACTIONS(121), + [aux_sym_rollback_statement_token1] = ACTIONS(121), + [aux_sym_with_clause_token1] = ACTIONS(121), + [anon_sym_COMMA] = ACTIONS(119), + [aux_sym_cte_token1] = ACTIONS(121), + [aux_sym_cte_token2] = ACTIONS(121), + [anon_sym_LPAREN] = ACTIONS(185), + [aux_sym_insert_statement_token1] = ACTIONS(121), + [aux_sym_create_statement_token1] = ACTIONS(121), + [aux_sym_alter_statement_token1] = ACTIONS(121), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(121), + [aux_sym_pg_command_token1] = ACTIONS(119), + [aux_sym_create_function_parameter_token1] = ACTIONS(121), + [anon_sym_EQ] = ACTIONS(119), + [aux_sym_drop_statement_token1] = ACTIONS(121), + [aux_sym_grant_statement_token1] = ACTIONS(121), + [aux_sym_grant_statement_token4] = ACTIONS(121), + [aux_sym_grant_statement_token5] = ACTIONS(121), + [aux_sym_grant_statement_token6] = ACTIONS(121), + [aux_sym_grant_statement_token12] = ACTIONS(121), + [aux_sym_order_by_clause_token1] = ACTIONS(121), + [aux_sym_limit_clause_token1] = ACTIONS(121), + [aux_sym_where_clause_token1] = ACTIONS(121), + [aux_sym_join_type_token1] = ACTIONS(121), + [aux_sym_join_type_token2] = ACTIONS(121), + [aux_sym_join_type_token3] = ACTIONS(121), + [aux_sym_join_type_token4] = ACTIONS(121), + [aux_sym_join_clause_token1] = ACTIONS(121), + [aux_sym_is_expression_token1] = ACTIONS(121), + [aux_sym_boolean_expression_token1] = ACTIONS(121), + [aux_sym_boolean_expression_token2] = ACTIONS(121), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(121), + [sym__unquoted_identifier] = ACTIONS(121), + [anon_sym_BQUOTE] = ACTIONS(119), + [anon_sym_DQUOTE] = ACTIONS(119), + [anon_sym_DOT] = ACTIONS(187), + [anon_sym_DASH_GT] = ACTIONS(121), + [anon_sym_DASH_GT_GT] = ACTIONS(119), + [anon_sym_POUND_GT] = ACTIONS(121), + [anon_sym_POUND_GT_GT] = ACTIONS(119), + [anon_sym_LBRACK] = ACTIONS(189), + [anon_sym_COLON_COLON] = ACTIONS(119), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(119), + [anon_sym_DASH] = ACTIONS(121), + [anon_sym_TILDE] = ACTIONS(121), + [anon_sym_CARET] = ACTIONS(119), + [anon_sym_STAR] = ACTIONS(119), + [anon_sym_SLASH] = ACTIONS(121), + [anon_sym_PERCENT] = ACTIONS(119), + [anon_sym_LT_LT] = ACTIONS(119), + [anon_sym_GT_GT] = ACTIONS(119), + [anon_sym_AMP] = ACTIONS(119), + [anon_sym_PIPE] = ACTIONS(119), + [anon_sym_POUND] = ACTIONS(121), + [anon_sym_LT] = ACTIONS(121), + [anon_sym_LT_EQ] = ACTIONS(119), + [anon_sym_LT_GT] = ACTIONS(119), + [anon_sym_GT] = ACTIONS(121), + [anon_sym_GT_EQ] = ACTIONS(119), + [anon_sym_BANG_TILDE] = ACTIONS(121), + [anon_sym_TILDE_STAR] = ACTIONS(119), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(119), + [anon_sym_DOT_STAR] = ACTIONS(119), + }, + [39] = { + [ts_builtin_sym_end] = ACTIONS(191), + [anon_sym_SEMI] = ACTIONS(191), + [aux_sym_begin_statement_token1] = ACTIONS(191), + [aux_sym_commit_statement_token1] = ACTIONS(191), + [aux_sym_rollback_statement_token1] = ACTIONS(191), + [aux_sym_with_clause_token1] = ACTIONS(193), + [anon_sym_COMMA] = ACTIONS(191), + [aux_sym_cte_token1] = ACTIONS(191), + [aux_sym_cte_token2] = ACTIONS(191), + [aux_sym_insert_statement_token1] = ACTIONS(191), + [aux_sym_create_statement_token1] = ACTIONS(191), + [aux_sym_alter_statement_token1] = ACTIONS(191), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(191), + [aux_sym_pg_command_token1] = ACTIONS(191), + [aux_sym_create_function_statement_token3] = ACTIONS(191), + [aux_sym_optimizer_hint_token1] = ACTIONS(191), + [aux_sym_optimizer_hint_token2] = ACTIONS(191), + [aux_sym_optimizer_hint_token3] = ACTIONS(191), + [aux_sym_parallel_hint_token1] = ACTIONS(191), + [aux_sym_parallel_hint_token2] = ACTIONS(191), + [aux_sym_parallel_hint_token3] = ACTIONS(191), + [aux_sym_parallel_hint_token4] = ACTIONS(191), + [aux_sym_null_hint_token1] = ACTIONS(191), + [aux_sym_null_hint_token3] = ACTIONS(191), + [aux_sym_null_hint_token5] = ACTIONS(191), + [aux_sym__function_language_token1] = ACTIONS(191), + [aux_sym_create_function_parameter_token1] = ACTIONS(195), + [anon_sym_EQ] = ACTIONS(191), + [aux_sym_drop_statement_token1] = ACTIONS(191), + [aux_sym_grant_statement_token1] = ACTIONS(191), + [aux_sym_grant_statement_token4] = ACTIONS(191), + [aux_sym_grant_statement_token5] = ACTIONS(191), + [aux_sym_grant_statement_token6] = ACTIONS(191), + [aux_sym_grant_statement_token12] = ACTIONS(191), + [aux_sym_order_by_clause_token1] = ACTIONS(191), + [aux_sym_limit_clause_token1] = ACTIONS(191), + [aux_sym_is_expression_token1] = ACTIONS(191), + [aux_sym_boolean_expression_token1] = ACTIONS(191), + [aux_sym_boolean_expression_token2] = ACTIONS(195), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(191), + [anon_sym_DASH_GT] = ACTIONS(195), + [anon_sym_DASH_GT_GT] = ACTIONS(191), + [anon_sym_POUND_GT] = ACTIONS(195), + [anon_sym_POUND_GT_GT] = ACTIONS(191), + [aux_sym_type_token3] = ACTIONS(197), + [anon_sym_LBRACK] = ACTIONS(191), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(191), + [anon_sym_DASH] = ACTIONS(195), + [anon_sym_TILDE] = ACTIONS(195), + [anon_sym_CARET] = ACTIONS(191), + [anon_sym_STAR] = ACTIONS(191), + [anon_sym_SLASH] = ACTIONS(195), + [anon_sym_PERCENT] = ACTIONS(191), + [anon_sym_LT_LT] = ACTIONS(191), + [anon_sym_GT_GT] = ACTIONS(191), + [anon_sym_AMP] = ACTIONS(191), + [anon_sym_PIPE] = ACTIONS(191), + [anon_sym_POUND] = ACTIONS(195), + [anon_sym_LT] = ACTIONS(195), + [anon_sym_LT_EQ] = ACTIONS(191), + [anon_sym_LT_GT] = ACTIONS(191), + [anon_sym_GT] = ACTIONS(195), + [anon_sym_GT_EQ] = ACTIONS(191), + [anon_sym_BANG_TILDE] = ACTIONS(195), + [anon_sym_TILDE_STAR] = ACTIONS(191), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(191), + }, + [40] = { + [ts_builtin_sym_end] = ACTIONS(92), + [anon_sym_SEMI] = ACTIONS(92), + [aux_sym_begin_statement_token1] = ACTIONS(92), + [aux_sym_commit_statement_token1] = ACTIONS(92), + [aux_sym_rollback_statement_token1] = ACTIONS(92), + [aux_sym_with_clause_token1] = ACTIONS(92), + [anon_sym_COMMA] = ACTIONS(92), + [aux_sym_cte_token2] = ACTIONS(92), + [anon_sym_LPAREN] = ACTIONS(92), + [aux_sym_insert_statement_token1] = ACTIONS(92), + [aux_sym_create_statement_token1] = ACTIONS(92), + [aux_sym_alter_statement_token1] = ACTIONS(92), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(92), + [aux_sym_alter_table_action_alter_column_token3] = ACTIONS(92), + [aux_sym_pg_command_token1] = ACTIONS(92), + [aux_sym_null_hint_token2] = ACTIONS(92), + [aux_sym_null_hint_token3] = ACTIONS(92), + [aux_sym_create_function_parameter_token1] = ACTIONS(94), + [anon_sym_EQ] = ACTIONS(92), + [aux_sym_drop_statement_token1] = ACTIONS(92), + [aux_sym_grant_statement_token1] = ACTIONS(92), + [aux_sym_grant_statement_token4] = ACTIONS(92), + [aux_sym_grant_statement_token5] = ACTIONS(92), + [aux_sym_grant_statement_token6] = ACTIONS(92), + [aux_sym_grant_statement_token8] = ACTIONS(92), + [aux_sym_auto_increment_constraint_token1] = ACTIONS(92), + [aux_sym_direction_constraint_token1] = ACTIONS(92), + [aux_sym_direction_constraint_token2] = ACTIONS(92), + [anon_sym_CONSTRAINT] = ACTIONS(92), + [aux_sym_table_constraint_check_token1] = ACTIONS(92), + [aux_sym_table_constraint_unique_token1] = ACTIONS(92), + [aux_sym_table_constraint_primary_key_token1] = ACTIONS(92), + [aux_sym_limit_clause_token1] = ACTIONS(92), + [aux_sym_where_clause_token1] = ACTIONS(92), + [aux_sym_from_clause_token1] = ACTIONS(92), + [aux_sym_is_expression_token1] = ACTIONS(92), + [aux_sym_boolean_expression_token1] = ACTIONS(92), + [aux_sym_boolean_expression_token2] = ACTIONS(92), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(92), + [anon_sym_DOT] = ACTIONS(94), + [anon_sym_DASH_GT] = ACTIONS(94), + [anon_sym_DASH_GT_GT] = ACTIONS(92), + [anon_sym_POUND_GT] = ACTIONS(94), + [anon_sym_POUND_GT_GT] = ACTIONS(92), + [anon_sym_COLON_COLON] = ACTIONS(92), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(92), + [anon_sym_DASH] = ACTIONS(94), + [anon_sym_TILDE] = ACTIONS(94), + [anon_sym_CARET] = ACTIONS(92), + [anon_sym_STAR] = ACTIONS(92), + [anon_sym_SLASH] = ACTIONS(94), + [anon_sym_PERCENT] = ACTIONS(92), + [anon_sym_LT_LT] = ACTIONS(92), + [anon_sym_GT_GT] = ACTIONS(92), + [anon_sym_AMP] = ACTIONS(92), + [anon_sym_PIPE] = ACTIONS(92), + [anon_sym_POUND] = ACTIONS(94), + [anon_sym_LT] = ACTIONS(94), + [anon_sym_LT_EQ] = ACTIONS(92), + [anon_sym_LT_GT] = ACTIONS(92), + [anon_sym_GT] = ACTIONS(94), + [anon_sym_GT_EQ] = ACTIONS(92), + [anon_sym_BANG_TILDE] = ACTIONS(94), + [anon_sym_TILDE_STAR] = ACTIONS(92), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(92), + [anon_sym_DOT_STAR] = ACTIONS(92), + }, + [41] = { + [ts_builtin_sym_end] = ACTIONS(99), + [anon_sym_SEMI] = ACTIONS(99), + [aux_sym_begin_statement_token1] = ACTIONS(99), + [aux_sym_commit_statement_token1] = ACTIONS(99), + [aux_sym_rollback_statement_token1] = ACTIONS(99), + [aux_sym_with_clause_token1] = ACTIONS(199), + [anon_sym_COMMA] = ACTIONS(99), + [aux_sym_cte_token2] = ACTIONS(99), + [anon_sym_LPAREN] = ACTIONS(201), + [aux_sym_insert_statement_token1] = ACTIONS(99), + [aux_sym_create_statement_token1] = ACTIONS(99), + [aux_sym_alter_statement_token1] = ACTIONS(99), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(99), + [aux_sym_alter_table_action_alter_column_token3] = ACTIONS(99), + [aux_sym_pg_command_token1] = ACTIONS(99), + [aux_sym_null_hint_token3] = ACTIONS(99), + [aux_sym_create_function_parameter_token1] = ACTIONS(105), + [anon_sym_EQ] = ACTIONS(99), + [aux_sym_drop_statement_token1] = ACTIONS(99), + [aux_sym_grant_statement_token1] = ACTIONS(99), + [aux_sym_grant_statement_token4] = ACTIONS(99), + [aux_sym_grant_statement_token5] = ACTIONS(99), + [aux_sym_grant_statement_token6] = ACTIONS(99), + [aux_sym_grant_statement_token8] = ACTIONS(99), + [aux_sym_auto_increment_constraint_token1] = ACTIONS(99), + [aux_sym_direction_constraint_token1] = ACTIONS(99), + [aux_sym_direction_constraint_token2] = ACTIONS(99), + [anon_sym_CONSTRAINT] = ACTIONS(99), + [aux_sym_table_constraint_check_token1] = ACTIONS(99), + [aux_sym_table_constraint_unique_token1] = ACTIONS(99), + [aux_sym_table_constraint_primary_key_token1] = ACTIONS(99), + [aux_sym_limit_clause_token1] = ACTIONS(99), + [aux_sym_where_clause_token1] = ACTIONS(99), + [aux_sym_from_clause_token1] = ACTIONS(99), + [aux_sym_is_expression_token1] = ACTIONS(99), + [aux_sym_boolean_expression_token1] = ACTIONS(99), + [aux_sym_boolean_expression_token2] = ACTIONS(99), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(99), + [anon_sym_DASH_GT] = ACTIONS(105), + [anon_sym_DASH_GT_GT] = ACTIONS(99), + [anon_sym_POUND_GT] = ACTIONS(105), + [anon_sym_POUND_GT_GT] = ACTIONS(99), + [aux_sym_type_token1] = ACTIONS(203), + [aux_sym_type_token2] = ACTIONS(205), + [aux_sym_type_token3] = ACTIONS(207), + [anon_sym_LBRACK] = ACTIONS(99), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(99), + [anon_sym_DASH] = ACTIONS(105), + [anon_sym_TILDE] = ACTIONS(105), + [anon_sym_CARET] = ACTIONS(99), + [anon_sym_STAR] = ACTIONS(99), + [anon_sym_SLASH] = ACTIONS(105), + [anon_sym_PERCENT] = ACTIONS(99), + [anon_sym_LT_LT] = ACTIONS(99), + [anon_sym_GT_GT] = ACTIONS(99), + [anon_sym_AMP] = ACTIONS(99), + [anon_sym_PIPE] = ACTIONS(99), + [anon_sym_POUND] = ACTIONS(105), + [anon_sym_LT] = ACTIONS(105), + [anon_sym_LT_EQ] = ACTIONS(99), + [anon_sym_LT_GT] = ACTIONS(99), + [anon_sym_GT] = ACTIONS(105), + [anon_sym_GT_EQ] = ACTIONS(99), + [anon_sym_BANG_TILDE] = ACTIONS(105), + [anon_sym_TILDE_STAR] = ACTIONS(99), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(99), + }, + [42] = { + [ts_builtin_sym_end] = ACTIONS(209), + [anon_sym_SEMI] = ACTIONS(209), + [aux_sym_begin_statement_token1] = ACTIONS(209), + [aux_sym_commit_statement_token1] = ACTIONS(209), + [aux_sym_rollback_statement_token1] = ACTIONS(209), + [aux_sym_with_clause_token1] = ACTIONS(211), + [anon_sym_COMMA] = ACTIONS(209), + [aux_sym_cte_token1] = ACTIONS(209), + [aux_sym_cte_token2] = ACTIONS(209), + [aux_sym_insert_statement_token1] = ACTIONS(209), + [aux_sym_create_statement_token1] = ACTIONS(209), + [aux_sym_alter_statement_token1] = ACTIONS(209), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(209), + [aux_sym_pg_command_token1] = ACTIONS(209), + [aux_sym_create_function_statement_token3] = ACTIONS(209), + [aux_sym_optimizer_hint_token1] = ACTIONS(209), + [aux_sym_optimizer_hint_token2] = ACTIONS(209), + [aux_sym_optimizer_hint_token3] = ACTIONS(209), + [aux_sym_parallel_hint_token1] = ACTIONS(209), + [aux_sym_parallel_hint_token2] = ACTIONS(209), + [aux_sym_parallel_hint_token3] = ACTIONS(209), + [aux_sym_parallel_hint_token4] = ACTIONS(209), + [aux_sym_null_hint_token1] = ACTIONS(209), + [aux_sym_null_hint_token3] = ACTIONS(209), + [aux_sym_null_hint_token5] = ACTIONS(209), + [aux_sym__function_language_token1] = ACTIONS(209), + [aux_sym_create_function_parameter_token1] = ACTIONS(213), + [anon_sym_EQ] = ACTIONS(209), + [aux_sym_drop_statement_token1] = ACTIONS(209), + [aux_sym_grant_statement_token1] = ACTIONS(209), + [aux_sym_grant_statement_token4] = ACTIONS(209), + [aux_sym_grant_statement_token5] = ACTIONS(209), + [aux_sym_grant_statement_token6] = ACTIONS(209), + [aux_sym_grant_statement_token12] = ACTIONS(209), + [aux_sym_order_by_clause_token1] = ACTIONS(209), + [aux_sym_limit_clause_token1] = ACTIONS(209), + [aux_sym_is_expression_token1] = ACTIONS(209), + [aux_sym_boolean_expression_token1] = ACTIONS(209), + [aux_sym_boolean_expression_token2] = ACTIONS(213), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(209), + [anon_sym_DASH_GT] = ACTIONS(213), + [anon_sym_DASH_GT_GT] = ACTIONS(209), + [anon_sym_POUND_GT] = ACTIONS(213), + [anon_sym_POUND_GT_GT] = ACTIONS(209), + [aux_sym_type_token3] = ACTIONS(215), + [anon_sym_LBRACK] = ACTIONS(209), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(209), + [anon_sym_DASH] = ACTIONS(213), + [anon_sym_TILDE] = ACTIONS(213), + [anon_sym_CARET] = ACTIONS(209), + [anon_sym_STAR] = ACTIONS(209), + [anon_sym_SLASH] = ACTIONS(213), + [anon_sym_PERCENT] = ACTIONS(209), + [anon_sym_LT_LT] = ACTIONS(209), + [anon_sym_GT_GT] = ACTIONS(209), + [anon_sym_AMP] = ACTIONS(209), + [anon_sym_PIPE] = ACTIONS(209), + [anon_sym_POUND] = ACTIONS(213), + [anon_sym_LT] = ACTIONS(213), + [anon_sym_LT_EQ] = ACTIONS(209), + [anon_sym_LT_GT] = ACTIONS(209), + [anon_sym_GT] = ACTIONS(213), + [anon_sym_GT_EQ] = ACTIONS(209), + [anon_sym_BANG_TILDE] = ACTIONS(213), + [anon_sym_TILDE_STAR] = ACTIONS(209), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(209), + }, + [43] = { + [ts_builtin_sym_end] = ACTIONS(99), + [anon_sym_SEMI] = ACTIONS(99), + [aux_sym_begin_statement_token1] = ACTIONS(105), + [aux_sym_commit_statement_token1] = ACTIONS(105), + [aux_sym_rollback_statement_token1] = ACTIONS(105), + [aux_sym_with_clause_token1] = ACTIONS(217), + [anon_sym_COMMA] = ACTIONS(99), + [aux_sym_cte_token1] = ACTIONS(105), + [aux_sym_cte_token2] = ACTIONS(105), + [anon_sym_LPAREN] = ACTIONS(219), + [aux_sym_insert_statement_token1] = ACTIONS(105), + [aux_sym_create_statement_token1] = ACTIONS(105), + [aux_sym_alter_statement_token1] = ACTIONS(105), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(105), + [aux_sym_pg_command_token1] = ACTIONS(99), + [aux_sym_create_function_parameter_token1] = ACTIONS(105), + [anon_sym_EQ] = ACTIONS(99), + [aux_sym_drop_statement_token1] = ACTIONS(105), + [aux_sym_grant_statement_token1] = ACTIONS(105), + [aux_sym_grant_statement_token4] = ACTIONS(105), + [aux_sym_grant_statement_token5] = ACTIONS(105), + [aux_sym_grant_statement_token6] = ACTIONS(105), + [aux_sym_grant_statement_token12] = ACTIONS(105), + [aux_sym_order_by_clause_token1] = ACTIONS(105), + [aux_sym_limit_clause_token1] = ACTIONS(105), + [aux_sym_where_clause_token1] = ACTIONS(105), + [aux_sym_join_type_token1] = ACTIONS(105), + [aux_sym_join_type_token2] = ACTIONS(105), + [aux_sym_join_type_token3] = ACTIONS(105), + [aux_sym_join_type_token4] = ACTIONS(105), + [aux_sym_join_clause_token1] = ACTIONS(105), + [aux_sym_is_expression_token1] = ACTIONS(105), + [aux_sym_boolean_expression_token1] = ACTIONS(105), + [aux_sym_boolean_expression_token2] = ACTIONS(105), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(105), + [sym__unquoted_identifier] = ACTIONS(105), + [anon_sym_BQUOTE] = ACTIONS(99), + [anon_sym_DQUOTE] = ACTIONS(99), + [anon_sym_DASH_GT] = ACTIONS(105), + [anon_sym_DASH_GT_GT] = ACTIONS(99), + [anon_sym_POUND_GT] = ACTIONS(105), + [anon_sym_POUND_GT_GT] = ACTIONS(99), + [aux_sym_type_token1] = ACTIONS(221), + [aux_sym_type_token2] = ACTIONS(223), + [aux_sym_type_token3] = ACTIONS(225), + [anon_sym_LBRACK] = ACTIONS(99), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(99), + [anon_sym_DASH] = ACTIONS(105), + [anon_sym_TILDE] = ACTIONS(105), + [anon_sym_CARET] = ACTIONS(99), + [anon_sym_STAR] = ACTIONS(99), + [anon_sym_SLASH] = ACTIONS(105), + [anon_sym_PERCENT] = ACTIONS(99), + [anon_sym_LT_LT] = ACTIONS(99), + [anon_sym_GT_GT] = ACTIONS(99), + [anon_sym_AMP] = ACTIONS(99), + [anon_sym_PIPE] = ACTIONS(99), + [anon_sym_POUND] = ACTIONS(105), + [anon_sym_LT] = ACTIONS(105), + [anon_sym_LT_EQ] = ACTIONS(99), + [anon_sym_LT_GT] = ACTIONS(99), + [anon_sym_GT] = ACTIONS(105), + [anon_sym_GT_EQ] = ACTIONS(99), + [anon_sym_BANG_TILDE] = ACTIONS(105), + [anon_sym_TILDE_STAR] = ACTIONS(99), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(99), + }, + [44] = { + [aux_sym_dotted_name_repeat1] = STATE(44), + [ts_builtin_sym_end] = ACTIONS(92), + [anon_sym_SEMI] = ACTIONS(92), + [aux_sym_begin_statement_token1] = ACTIONS(94), + [aux_sym_commit_statement_token1] = ACTIONS(94), + [aux_sym_rollback_statement_token1] = ACTIONS(94), + [aux_sym_with_clause_token1] = ACTIONS(94), + [anon_sym_COMMA] = ACTIONS(92), + [aux_sym_cte_token1] = ACTIONS(94), + [aux_sym_cte_token2] = ACTIONS(94), + [aux_sym_insert_statement_token1] = ACTIONS(94), + [aux_sym_create_statement_token1] = ACTIONS(94), + [aux_sym_alter_statement_token1] = ACTIONS(94), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(94), + [aux_sym_pg_command_token1] = ACTIONS(92), + [aux_sym_create_function_parameter_token1] = ACTIONS(94), + [anon_sym_EQ] = ACTIONS(92), + [aux_sym_drop_statement_token1] = ACTIONS(94), + [aux_sym_grant_statement_token1] = ACTIONS(94), + [aux_sym_grant_statement_token4] = ACTIONS(94), + [aux_sym_grant_statement_token5] = ACTIONS(94), + [aux_sym_grant_statement_token6] = ACTIONS(94), + [aux_sym_grant_statement_token12] = ACTIONS(94), + [aux_sym_order_by_clause_token1] = ACTIONS(94), + [aux_sym_limit_clause_token1] = ACTIONS(94), + [aux_sym_where_clause_token1] = ACTIONS(94), + [aux_sym_from_clause_token1] = ACTIONS(94), + [aux_sym_join_type_token1] = ACTIONS(94), + [aux_sym_join_type_token2] = ACTIONS(94), + [aux_sym_join_type_token3] = ACTIONS(94), + [aux_sym_join_type_token4] = ACTIONS(94), + [aux_sym_join_clause_token1] = ACTIONS(94), + [aux_sym_is_expression_token1] = ACTIONS(94), + [aux_sym_boolean_expression_token1] = ACTIONS(94), + [aux_sym_boolean_expression_token2] = ACTIONS(94), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(94), + [sym__unquoted_identifier] = ACTIONS(94), + [anon_sym_BQUOTE] = ACTIONS(92), + [anon_sym_DQUOTE] = ACTIONS(92), + [anon_sym_DOT] = ACTIONS(227), + [anon_sym_DASH_GT] = ACTIONS(94), + [anon_sym_DASH_GT_GT] = ACTIONS(92), + [anon_sym_POUND_GT] = ACTIONS(94), + [anon_sym_POUND_GT_GT] = ACTIONS(92), + [anon_sym_COLON_COLON] = ACTIONS(92), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(92), + [anon_sym_DASH] = ACTIONS(94), + [anon_sym_TILDE] = ACTIONS(94), + [anon_sym_CARET] = ACTIONS(92), + [anon_sym_STAR] = ACTIONS(92), + [anon_sym_SLASH] = ACTIONS(94), + [anon_sym_PERCENT] = ACTIONS(92), + [anon_sym_LT_LT] = ACTIONS(92), + [anon_sym_GT_GT] = ACTIONS(92), + [anon_sym_AMP] = ACTIONS(92), + [anon_sym_PIPE] = ACTIONS(92), + [anon_sym_POUND] = ACTIONS(94), + [anon_sym_LT] = ACTIONS(94), + [anon_sym_LT_EQ] = ACTIONS(92), + [anon_sym_LT_GT] = ACTIONS(92), + [anon_sym_GT] = ACTIONS(94), + [anon_sym_GT_EQ] = ACTIONS(92), + [anon_sym_BANG_TILDE] = ACTIONS(94), + [anon_sym_TILDE_STAR] = ACTIONS(92), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(92), + [anon_sym_DOT_STAR] = ACTIONS(92), + }, + [45] = { + [ts_builtin_sym_end] = ACTIONS(131), + [anon_sym_SEMI] = ACTIONS(131), + [aux_sym_begin_statement_token1] = ACTIONS(133), + [aux_sym_commit_statement_token1] = ACTIONS(133), + [aux_sym_rollback_statement_token1] = ACTIONS(133), + [aux_sym_with_clause_token1] = ACTIONS(133), + [anon_sym_COMMA] = ACTIONS(131), + [aux_sym_cte_token1] = ACTIONS(133), + [aux_sym_cte_token2] = ACTIONS(133), + [anon_sym_LPAREN] = ACTIONS(131), + [aux_sym_insert_statement_token1] = ACTIONS(133), + [aux_sym_create_statement_token1] = ACTIONS(133), + [aux_sym_alter_statement_token1] = ACTIONS(133), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(133), + [aux_sym_pg_command_token1] = ACTIONS(131), + [aux_sym_create_function_parameter_token1] = ACTIONS(133), + [anon_sym_EQ] = ACTIONS(131), + [aux_sym_drop_statement_token1] = ACTIONS(133), + [aux_sym_grant_statement_token1] = ACTIONS(133), + [aux_sym_grant_statement_token4] = ACTIONS(133), + [aux_sym_grant_statement_token5] = ACTIONS(133), + [aux_sym_grant_statement_token6] = ACTIONS(133), + [aux_sym_grant_statement_token12] = ACTIONS(133), + [aux_sym_order_by_clause_token1] = ACTIONS(133), + [aux_sym_limit_clause_token1] = ACTIONS(133), + [aux_sym_where_clause_token1] = ACTIONS(133), + [aux_sym_join_type_token1] = ACTIONS(133), + [aux_sym_join_type_token2] = ACTIONS(133), + [aux_sym_join_type_token3] = ACTIONS(133), + [aux_sym_join_type_token4] = ACTIONS(133), + [aux_sym_join_clause_token1] = ACTIONS(133), + [aux_sym_is_expression_token1] = ACTIONS(133), + [aux_sym_boolean_expression_token1] = ACTIONS(133), + [aux_sym_boolean_expression_token2] = ACTIONS(133), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(133), + [sym__unquoted_identifier] = ACTIONS(133), + [anon_sym_BQUOTE] = ACTIONS(131), + [anon_sym_DQUOTE] = ACTIONS(131), + [anon_sym_DOT] = ACTIONS(133), + [anon_sym_DASH_GT] = ACTIONS(133), + [anon_sym_DASH_GT_GT] = ACTIONS(131), + [anon_sym_POUND_GT] = ACTIONS(133), + [anon_sym_POUND_GT_GT] = ACTIONS(131), + [anon_sym_LBRACK] = ACTIONS(131), + [anon_sym_COLON_COLON] = ACTIONS(131), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(131), + [anon_sym_DASH] = ACTIONS(133), + [anon_sym_TILDE] = ACTIONS(133), + [anon_sym_CARET] = ACTIONS(131), + [anon_sym_STAR] = ACTIONS(131), + [anon_sym_SLASH] = ACTIONS(133), + [anon_sym_PERCENT] = ACTIONS(131), + [anon_sym_LT_LT] = ACTIONS(131), + [anon_sym_GT_GT] = ACTIONS(131), + [anon_sym_AMP] = ACTIONS(131), + [anon_sym_PIPE] = ACTIONS(131), + [anon_sym_POUND] = ACTIONS(133), + [anon_sym_LT] = ACTIONS(133), + [anon_sym_LT_EQ] = ACTIONS(131), + [anon_sym_LT_GT] = ACTIONS(131), + [anon_sym_GT] = ACTIONS(133), + [anon_sym_GT_EQ] = ACTIONS(131), + [anon_sym_BANG_TILDE] = ACTIONS(133), + [anon_sym_TILDE_STAR] = ACTIONS(131), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(131), + [anon_sym_DOT_STAR] = ACTIONS(131), + }, + [46] = { + [ts_builtin_sym_end] = ACTIONS(143), + [anon_sym_SEMI] = ACTIONS(143), + [aux_sym_begin_statement_token1] = ACTIONS(149), + [aux_sym_commit_statement_token1] = ACTIONS(149), + [aux_sym_rollback_statement_token1] = ACTIONS(149), + [aux_sym_with_clause_token1] = ACTIONS(230), + [anon_sym_COMMA] = ACTIONS(143), + [aux_sym_cte_token1] = ACTIONS(149), + [aux_sym_cte_token2] = ACTIONS(149), + [anon_sym_LPAREN] = ACTIONS(232), + [aux_sym_insert_statement_token1] = ACTIONS(149), + [aux_sym_create_statement_token1] = ACTIONS(149), + [aux_sym_alter_statement_token1] = ACTIONS(149), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(149), + [aux_sym_pg_command_token1] = ACTIONS(143), + [aux_sym_create_function_parameter_token1] = ACTIONS(149), + [anon_sym_EQ] = ACTIONS(143), + [aux_sym_drop_statement_token1] = ACTIONS(149), + [aux_sym_grant_statement_token1] = ACTIONS(149), + [aux_sym_grant_statement_token4] = ACTIONS(149), + [aux_sym_grant_statement_token5] = ACTIONS(149), + [aux_sym_grant_statement_token6] = ACTIONS(149), + [aux_sym_grant_statement_token12] = ACTIONS(149), + [aux_sym_order_by_clause_token1] = ACTIONS(149), + [aux_sym_limit_clause_token1] = ACTIONS(149), + [aux_sym_where_clause_token1] = ACTIONS(149), + [aux_sym_from_clause_token1] = ACTIONS(149), + [aux_sym_join_type_token1] = ACTIONS(149), + [aux_sym_join_type_token2] = ACTIONS(149), + [aux_sym_join_type_token3] = ACTIONS(149), + [aux_sym_join_type_token4] = ACTIONS(149), + [aux_sym_join_clause_token1] = ACTIONS(149), + [aux_sym_is_expression_token1] = ACTIONS(149), + [aux_sym_boolean_expression_token1] = ACTIONS(149), + [aux_sym_boolean_expression_token2] = ACTIONS(149), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(149), + [sym__unquoted_identifier] = ACTIONS(149), + [anon_sym_BQUOTE] = ACTIONS(143), + [anon_sym_DQUOTE] = ACTIONS(143), + [anon_sym_DASH_GT] = ACTIONS(149), + [anon_sym_DASH_GT_GT] = ACTIONS(143), + [anon_sym_POUND_GT] = ACTIONS(149), + [anon_sym_POUND_GT_GT] = ACTIONS(143), + [aux_sym_type_token2] = ACTIONS(234), + [aux_sym_type_token3] = ACTIONS(236), + [anon_sym_LBRACK] = ACTIONS(143), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(143), + [anon_sym_DASH] = ACTIONS(149), + [anon_sym_TILDE] = ACTIONS(149), + [anon_sym_CARET] = ACTIONS(143), + [anon_sym_STAR] = ACTIONS(143), + [anon_sym_SLASH] = ACTIONS(149), + [anon_sym_PERCENT] = ACTIONS(143), + [anon_sym_LT_LT] = ACTIONS(143), + [anon_sym_GT_GT] = ACTIONS(143), + [anon_sym_AMP] = ACTIONS(143), + [anon_sym_PIPE] = ACTIONS(143), + [anon_sym_POUND] = ACTIONS(149), + [anon_sym_LT] = ACTIONS(149), + [anon_sym_LT_EQ] = ACTIONS(143), + [anon_sym_LT_GT] = ACTIONS(143), + [anon_sym_GT] = ACTIONS(149), + [anon_sym_GT_EQ] = ACTIONS(143), + [anon_sym_BANG_TILDE] = ACTIONS(149), + [anon_sym_TILDE_STAR] = ACTIONS(143), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(143), + }, + [47] = { + [ts_builtin_sym_end] = ACTIONS(238), + [anon_sym_SEMI] = ACTIONS(238), + [aux_sym_begin_statement_token1] = ACTIONS(238), + [aux_sym_commit_statement_token1] = ACTIONS(238), + [aux_sym_rollback_statement_token1] = ACTIONS(238), + [aux_sym_with_clause_token1] = ACTIONS(240), + [anon_sym_COMMA] = ACTIONS(238), + [aux_sym_cte_token1] = ACTIONS(238), + [aux_sym_cte_token2] = ACTIONS(238), + [aux_sym_insert_statement_token1] = ACTIONS(238), + [aux_sym_create_statement_token1] = ACTIONS(238), + [aux_sym_alter_statement_token1] = ACTIONS(238), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(238), + [aux_sym_pg_command_token1] = ACTIONS(238), + [aux_sym_create_function_statement_token3] = ACTIONS(238), + [aux_sym_optimizer_hint_token1] = ACTIONS(238), + [aux_sym_optimizer_hint_token2] = ACTIONS(238), + [aux_sym_optimizer_hint_token3] = ACTIONS(238), + [aux_sym_parallel_hint_token1] = ACTIONS(238), + [aux_sym_parallel_hint_token2] = ACTIONS(238), + [aux_sym_parallel_hint_token3] = ACTIONS(238), + [aux_sym_parallel_hint_token4] = ACTIONS(238), + [aux_sym_null_hint_token1] = ACTIONS(238), + [aux_sym_null_hint_token3] = ACTIONS(238), + [aux_sym_null_hint_token5] = ACTIONS(238), + [aux_sym__function_language_token1] = ACTIONS(238), + [aux_sym_create_function_parameter_token1] = ACTIONS(242), + [anon_sym_EQ] = ACTIONS(238), + [aux_sym_drop_statement_token1] = ACTIONS(238), + [aux_sym_grant_statement_token1] = ACTIONS(238), + [aux_sym_grant_statement_token4] = ACTIONS(238), + [aux_sym_grant_statement_token5] = ACTIONS(238), + [aux_sym_grant_statement_token6] = ACTIONS(238), + [aux_sym_grant_statement_token12] = ACTIONS(238), + [aux_sym_order_by_clause_token1] = ACTIONS(238), + [aux_sym_limit_clause_token1] = ACTIONS(238), + [aux_sym_is_expression_token1] = ACTIONS(238), + [aux_sym_boolean_expression_token1] = ACTIONS(238), + [aux_sym_boolean_expression_token2] = ACTIONS(242), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(238), + [anon_sym_DASH_GT] = ACTIONS(242), + [anon_sym_DASH_GT_GT] = ACTIONS(238), + [anon_sym_POUND_GT] = ACTIONS(242), + [anon_sym_POUND_GT_GT] = ACTIONS(238), + [aux_sym_type_token3] = ACTIONS(244), + [anon_sym_LBRACK] = ACTIONS(238), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(238), + [anon_sym_DASH] = ACTIONS(242), + [anon_sym_TILDE] = ACTIONS(242), + [anon_sym_CARET] = ACTIONS(238), + [anon_sym_STAR] = ACTIONS(238), + [anon_sym_SLASH] = ACTIONS(242), + [anon_sym_PERCENT] = ACTIONS(238), + [anon_sym_LT_LT] = ACTIONS(238), + [anon_sym_GT_GT] = ACTIONS(238), + [anon_sym_AMP] = ACTIONS(238), + [anon_sym_PIPE] = ACTIONS(238), + [anon_sym_POUND] = ACTIONS(242), + [anon_sym_LT] = ACTIONS(242), + [anon_sym_LT_EQ] = ACTIONS(238), + [anon_sym_LT_GT] = ACTIONS(238), + [anon_sym_GT] = ACTIONS(242), + [anon_sym_GT_EQ] = ACTIONS(238), + [anon_sym_BANG_TILDE] = ACTIONS(242), + [anon_sym_TILDE_STAR] = ACTIONS(238), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(238), + }, + [48] = { + [ts_builtin_sym_end] = ACTIONS(155), + [anon_sym_SEMI] = ACTIONS(155), + [aux_sym_begin_statement_token1] = ACTIONS(157), + [aux_sym_commit_statement_token1] = ACTIONS(157), + [aux_sym_rollback_statement_token1] = ACTIONS(157), + [aux_sym_with_clause_token1] = ACTIONS(157), + [anon_sym_COMMA] = ACTIONS(155), + [aux_sym_cte_token1] = ACTIONS(157), + [aux_sym_cte_token2] = ACTIONS(157), + [anon_sym_LPAREN] = ACTIONS(155), + [aux_sym_insert_statement_token1] = ACTIONS(157), + [aux_sym_create_statement_token1] = ACTIONS(157), + [aux_sym_alter_statement_token1] = ACTIONS(157), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(157), + [aux_sym_pg_command_token1] = ACTIONS(155), + [aux_sym_create_function_parameter_token1] = ACTIONS(157), + [anon_sym_EQ] = ACTIONS(155), + [aux_sym_drop_statement_token1] = ACTIONS(157), + [aux_sym_grant_statement_token1] = ACTIONS(157), + [aux_sym_grant_statement_token4] = ACTIONS(157), + [aux_sym_grant_statement_token5] = ACTIONS(157), + [aux_sym_grant_statement_token6] = ACTIONS(157), + [aux_sym_grant_statement_token12] = ACTIONS(157), + [aux_sym_order_by_clause_token1] = ACTIONS(157), + [aux_sym_limit_clause_token1] = ACTIONS(157), + [aux_sym_where_clause_token1] = ACTIONS(157), + [aux_sym_join_type_token1] = ACTIONS(157), + [aux_sym_join_type_token2] = ACTIONS(157), + [aux_sym_join_type_token3] = ACTIONS(157), + [aux_sym_join_type_token4] = ACTIONS(157), + [aux_sym_join_clause_token1] = ACTIONS(157), + [aux_sym_is_expression_token1] = ACTIONS(157), + [aux_sym_boolean_expression_token1] = ACTIONS(157), + [aux_sym_boolean_expression_token2] = ACTIONS(157), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(157), + [sym__unquoted_identifier] = ACTIONS(157), + [anon_sym_BQUOTE] = ACTIONS(155), + [anon_sym_DQUOTE] = ACTIONS(155), + [anon_sym_DOT] = ACTIONS(157), + [anon_sym_DASH_GT] = ACTIONS(157), + [anon_sym_DASH_GT_GT] = ACTIONS(155), + [anon_sym_POUND_GT] = ACTIONS(157), + [anon_sym_POUND_GT_GT] = ACTIONS(155), + [anon_sym_LBRACK] = ACTIONS(155), + [anon_sym_COLON_COLON] = ACTIONS(155), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(155), + [anon_sym_DASH] = ACTIONS(157), + [anon_sym_TILDE] = ACTIONS(157), + [anon_sym_CARET] = ACTIONS(155), + [anon_sym_STAR] = ACTIONS(155), + [anon_sym_SLASH] = ACTIONS(157), + [anon_sym_PERCENT] = ACTIONS(155), + [anon_sym_LT_LT] = ACTIONS(155), + [anon_sym_GT_GT] = ACTIONS(155), + [anon_sym_AMP] = ACTIONS(155), + [anon_sym_PIPE] = ACTIONS(155), + [anon_sym_POUND] = ACTIONS(157), + [anon_sym_LT] = ACTIONS(157), + [anon_sym_LT_EQ] = ACTIONS(155), + [anon_sym_LT_GT] = ACTIONS(155), + [anon_sym_GT] = ACTIONS(157), + [anon_sym_GT_EQ] = ACTIONS(155), + [anon_sym_BANG_TILDE] = ACTIONS(157), + [anon_sym_TILDE_STAR] = ACTIONS(155), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(155), + [anon_sym_DOT_STAR] = ACTIONS(155), + }, + [49] = { + [aux_sym_dotted_name_repeat1] = STATE(44), + [ts_builtin_sym_end] = ACTIONS(113), + [anon_sym_SEMI] = ACTIONS(113), + [aux_sym_begin_statement_token1] = ACTIONS(115), + [aux_sym_commit_statement_token1] = ACTIONS(115), + [aux_sym_rollback_statement_token1] = ACTIONS(115), + [aux_sym_with_clause_token1] = ACTIONS(115), + [anon_sym_COMMA] = ACTIONS(113), + [aux_sym_cte_token1] = ACTIONS(115), + [aux_sym_cte_token2] = ACTIONS(115), + [aux_sym_insert_statement_token1] = ACTIONS(115), + [aux_sym_create_statement_token1] = ACTIONS(115), + [aux_sym_alter_statement_token1] = ACTIONS(115), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(115), + [aux_sym_pg_command_token1] = ACTIONS(113), + [aux_sym_create_function_parameter_token1] = ACTIONS(115), + [anon_sym_EQ] = ACTIONS(113), + [aux_sym_drop_statement_token1] = ACTIONS(115), + [aux_sym_grant_statement_token1] = ACTIONS(115), + [aux_sym_grant_statement_token4] = ACTIONS(115), + [aux_sym_grant_statement_token5] = ACTIONS(115), + [aux_sym_grant_statement_token6] = ACTIONS(115), + [aux_sym_grant_statement_token12] = ACTIONS(115), + [aux_sym_order_by_clause_token1] = ACTIONS(115), + [aux_sym_limit_clause_token1] = ACTIONS(115), + [aux_sym_where_clause_token1] = ACTIONS(115), + [aux_sym_from_clause_token1] = ACTIONS(115), + [aux_sym_join_type_token1] = ACTIONS(115), + [aux_sym_join_type_token2] = ACTIONS(115), + [aux_sym_join_type_token3] = ACTIONS(115), + [aux_sym_join_type_token4] = ACTIONS(115), + [aux_sym_join_clause_token1] = ACTIONS(115), + [aux_sym_is_expression_token1] = ACTIONS(115), + [aux_sym_boolean_expression_token1] = ACTIONS(115), + [aux_sym_boolean_expression_token2] = ACTIONS(115), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(115), + [sym__unquoted_identifier] = ACTIONS(115), + [anon_sym_BQUOTE] = ACTIONS(113), + [anon_sym_DQUOTE] = ACTIONS(113), + [anon_sym_DOT] = ACTIONS(127), + [anon_sym_DASH_GT] = ACTIONS(115), + [anon_sym_DASH_GT_GT] = ACTIONS(113), + [anon_sym_POUND_GT] = ACTIONS(115), + [anon_sym_POUND_GT_GT] = ACTIONS(113), + [anon_sym_COLON_COLON] = ACTIONS(113), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(113), + [anon_sym_DASH] = ACTIONS(115), + [anon_sym_TILDE] = ACTIONS(115), + [anon_sym_CARET] = ACTIONS(113), + [anon_sym_STAR] = ACTIONS(113), + [anon_sym_SLASH] = ACTIONS(115), + [anon_sym_PERCENT] = ACTIONS(113), + [anon_sym_LT_LT] = ACTIONS(113), + [anon_sym_GT_GT] = ACTIONS(113), + [anon_sym_AMP] = ACTIONS(113), + [anon_sym_PIPE] = ACTIONS(113), + [anon_sym_POUND] = ACTIONS(115), + [anon_sym_LT] = ACTIONS(115), + [anon_sym_LT_EQ] = ACTIONS(113), + [anon_sym_LT_GT] = ACTIONS(113), + [anon_sym_GT] = ACTIONS(115), + [anon_sym_GT_EQ] = ACTIONS(113), + [anon_sym_BANG_TILDE] = ACTIONS(115), + [anon_sym_TILDE_STAR] = ACTIONS(113), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(113), + [anon_sym_DOT_STAR] = ACTIONS(113), + }, + [50] = { + [ts_builtin_sym_end] = ACTIONS(143), + [anon_sym_SEMI] = ACTIONS(143), + [aux_sym_begin_statement_token1] = ACTIONS(149), + [aux_sym_commit_statement_token1] = ACTIONS(149), + [aux_sym_rollback_statement_token1] = ACTIONS(149), + [aux_sym_with_clause_token1] = ACTIONS(230), + [anon_sym_COMMA] = ACTIONS(143), + [aux_sym_cte_token1] = ACTIONS(149), + [aux_sym_cte_token2] = ACTIONS(149), + [anon_sym_LPAREN] = ACTIONS(232), + [aux_sym_insert_statement_token1] = ACTIONS(149), + [aux_sym_create_statement_token1] = ACTIONS(149), + [aux_sym_alter_statement_token1] = ACTIONS(149), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(149), + [aux_sym_pg_command_token1] = ACTIONS(143), + [aux_sym_create_function_parameter_token1] = ACTIONS(149), + [anon_sym_EQ] = ACTIONS(143), + [aux_sym_drop_statement_token1] = ACTIONS(149), + [aux_sym_grant_statement_token1] = ACTIONS(149), + [aux_sym_grant_statement_token4] = ACTIONS(149), + [aux_sym_grant_statement_token5] = ACTIONS(149), + [aux_sym_grant_statement_token6] = ACTIONS(149), + [aux_sym_grant_statement_token12] = ACTIONS(149), + [aux_sym_order_by_clause_token1] = ACTIONS(149), + [aux_sym_limit_clause_token1] = ACTIONS(149), + [aux_sym_where_clause_token1] = ACTIONS(149), + [aux_sym_from_clause_token1] = ACTIONS(149), + [aux_sym_join_type_token1] = ACTIONS(149), + [aux_sym_join_type_token2] = ACTIONS(149), + [aux_sym_join_type_token3] = ACTIONS(149), + [aux_sym_join_type_token4] = ACTIONS(149), + [aux_sym_join_clause_token1] = ACTIONS(149), + [aux_sym_is_expression_token1] = ACTIONS(149), + [aux_sym_boolean_expression_token1] = ACTIONS(149), + [aux_sym_boolean_expression_token2] = ACTIONS(149), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(149), + [sym__unquoted_identifier] = ACTIONS(149), + [anon_sym_BQUOTE] = ACTIONS(143), + [anon_sym_DQUOTE] = ACTIONS(143), + [anon_sym_DASH_GT] = ACTIONS(149), + [anon_sym_DASH_GT_GT] = ACTIONS(143), + [anon_sym_POUND_GT] = ACTIONS(149), + [anon_sym_POUND_GT_GT] = ACTIONS(143), + [aux_sym_type_token3] = ACTIONS(236), + [anon_sym_LBRACK] = ACTIONS(143), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(143), + [anon_sym_DASH] = ACTIONS(149), + [anon_sym_TILDE] = ACTIONS(149), + [anon_sym_CARET] = ACTIONS(143), + [anon_sym_STAR] = ACTIONS(143), + [anon_sym_SLASH] = ACTIONS(149), + [anon_sym_PERCENT] = ACTIONS(143), + [anon_sym_LT_LT] = ACTIONS(143), + [anon_sym_GT_GT] = ACTIONS(143), + [anon_sym_AMP] = ACTIONS(143), + [anon_sym_PIPE] = ACTIONS(143), + [anon_sym_POUND] = ACTIONS(149), + [anon_sym_LT] = ACTIONS(149), + [anon_sym_LT_EQ] = ACTIONS(143), + [anon_sym_LT_GT] = ACTIONS(143), + [anon_sym_GT] = ACTIONS(149), + [anon_sym_GT_EQ] = ACTIONS(143), + [anon_sym_BANG_TILDE] = ACTIONS(149), + [anon_sym_TILDE_STAR] = ACTIONS(143), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(143), + }, + [51] = { + [ts_builtin_sym_end] = ACTIONS(246), + [anon_sym_SEMI] = ACTIONS(246), + [aux_sym_begin_statement_token1] = ACTIONS(246), + [aux_sym_commit_statement_token1] = ACTIONS(246), + [aux_sym_rollback_statement_token1] = ACTIONS(246), + [aux_sym_with_clause_token1] = ACTIONS(246), + [anon_sym_COMMA] = ACTIONS(246), + [aux_sym_cte_token1] = ACTIONS(246), + [aux_sym_cte_token2] = ACTIONS(246), + [aux_sym_insert_statement_token1] = ACTIONS(246), + [aux_sym_create_statement_token1] = ACTIONS(246), + [aux_sym_alter_statement_token1] = ACTIONS(246), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(246), + [aux_sym_pg_command_token1] = ACTIONS(246), + [aux_sym_create_function_statement_token3] = ACTIONS(246), + [aux_sym_optimizer_hint_token1] = ACTIONS(246), + [aux_sym_optimizer_hint_token2] = ACTIONS(246), + [aux_sym_optimizer_hint_token3] = ACTIONS(246), + [aux_sym_parallel_hint_token1] = ACTIONS(246), + [aux_sym_parallel_hint_token2] = ACTIONS(246), + [aux_sym_parallel_hint_token3] = ACTIONS(246), + [aux_sym_parallel_hint_token4] = ACTIONS(246), + [aux_sym_null_hint_token1] = ACTIONS(246), + [aux_sym_null_hint_token3] = ACTIONS(246), + [aux_sym_null_hint_token5] = ACTIONS(246), + [aux_sym__function_language_token1] = ACTIONS(246), + [aux_sym_create_function_parameter_token1] = ACTIONS(248), + [anon_sym_EQ] = ACTIONS(246), + [aux_sym_drop_statement_token1] = ACTIONS(246), + [aux_sym_grant_statement_token1] = ACTIONS(246), + [aux_sym_grant_statement_token4] = ACTIONS(246), + [aux_sym_grant_statement_token5] = ACTIONS(246), + [aux_sym_grant_statement_token6] = ACTIONS(246), + [aux_sym_grant_statement_token12] = ACTIONS(246), + [aux_sym_order_by_clause_token1] = ACTIONS(246), + [aux_sym_limit_clause_token1] = ACTIONS(246), + [aux_sym_is_expression_token1] = ACTIONS(246), + [aux_sym_boolean_expression_token1] = ACTIONS(246), + [aux_sym_boolean_expression_token2] = ACTIONS(248), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(246), + [anon_sym_DASH_GT] = ACTIONS(248), + [anon_sym_DASH_GT_GT] = ACTIONS(246), + [anon_sym_POUND_GT] = ACTIONS(248), + [anon_sym_POUND_GT_GT] = ACTIONS(246), + [anon_sym_LBRACK] = ACTIONS(246), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(246), + [anon_sym_DASH] = ACTIONS(248), + [anon_sym_TILDE] = ACTIONS(248), + [anon_sym_CARET] = ACTIONS(246), + [anon_sym_STAR] = ACTIONS(246), + [anon_sym_SLASH] = ACTIONS(248), + [anon_sym_PERCENT] = ACTIONS(246), + [anon_sym_LT_LT] = ACTIONS(246), + [anon_sym_GT_GT] = ACTIONS(246), + [anon_sym_AMP] = ACTIONS(246), + [anon_sym_PIPE] = ACTIONS(246), + [anon_sym_POUND] = ACTIONS(248), + [anon_sym_LT] = ACTIONS(248), + [anon_sym_LT_EQ] = ACTIONS(246), + [anon_sym_LT_GT] = ACTIONS(246), + [anon_sym_GT] = ACTIONS(248), + [anon_sym_GT_EQ] = ACTIONS(246), + [anon_sym_BANG_TILDE] = ACTIONS(248), + [anon_sym_TILDE_STAR] = ACTIONS(246), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(246), + }, + [52] = { + [ts_builtin_sym_end] = ACTIONS(250), + [anon_sym_SEMI] = ACTIONS(250), + [aux_sym_begin_statement_token1] = ACTIONS(250), + [aux_sym_commit_statement_token1] = ACTIONS(250), + [aux_sym_rollback_statement_token1] = ACTIONS(250), + [aux_sym_with_clause_token1] = ACTIONS(250), + [anon_sym_COMMA] = ACTIONS(250), + [aux_sym_cte_token1] = ACTIONS(250), + [aux_sym_cte_token2] = ACTIONS(250), + [aux_sym_insert_statement_token1] = ACTIONS(250), + [aux_sym_create_statement_token1] = ACTIONS(250), + [aux_sym_alter_statement_token1] = ACTIONS(250), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(250), + [aux_sym_pg_command_token1] = ACTIONS(250), + [aux_sym_create_function_statement_token3] = ACTIONS(250), + [aux_sym_optimizer_hint_token1] = ACTIONS(250), + [aux_sym_optimizer_hint_token2] = ACTIONS(250), + [aux_sym_optimizer_hint_token3] = ACTIONS(250), + [aux_sym_parallel_hint_token1] = ACTIONS(250), + [aux_sym_parallel_hint_token2] = ACTIONS(250), + [aux_sym_parallel_hint_token3] = ACTIONS(250), + [aux_sym_parallel_hint_token4] = ACTIONS(250), + [aux_sym_null_hint_token1] = ACTIONS(250), + [aux_sym_null_hint_token3] = ACTIONS(250), + [aux_sym_null_hint_token5] = ACTIONS(250), + [aux_sym__function_language_token1] = ACTIONS(250), + [aux_sym_create_function_parameter_token1] = ACTIONS(252), + [anon_sym_EQ] = ACTIONS(250), + [aux_sym_drop_statement_token1] = ACTIONS(250), + [aux_sym_grant_statement_token1] = ACTIONS(250), + [aux_sym_grant_statement_token4] = ACTIONS(250), + [aux_sym_grant_statement_token5] = ACTIONS(250), + [aux_sym_grant_statement_token6] = ACTIONS(250), + [aux_sym_grant_statement_token12] = ACTIONS(250), + [aux_sym_order_by_clause_token1] = ACTIONS(250), + [aux_sym_limit_clause_token1] = ACTIONS(250), + [aux_sym_is_expression_token1] = ACTIONS(250), + [aux_sym_boolean_expression_token1] = ACTIONS(250), + [aux_sym_boolean_expression_token2] = ACTIONS(252), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(250), + [anon_sym_DASH_GT] = ACTIONS(252), + [anon_sym_DASH_GT_GT] = ACTIONS(250), + [anon_sym_POUND_GT] = ACTIONS(252), + [anon_sym_POUND_GT_GT] = ACTIONS(250), + [anon_sym_LBRACK] = ACTIONS(250), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(250), + [anon_sym_DASH] = ACTIONS(252), + [anon_sym_TILDE] = ACTIONS(252), + [anon_sym_CARET] = ACTIONS(250), + [anon_sym_STAR] = ACTIONS(250), + [anon_sym_SLASH] = ACTIONS(252), + [anon_sym_PERCENT] = ACTIONS(250), + [anon_sym_LT_LT] = ACTIONS(250), + [anon_sym_GT_GT] = ACTIONS(250), + [anon_sym_AMP] = ACTIONS(250), + [anon_sym_PIPE] = ACTIONS(250), + [anon_sym_POUND] = ACTIONS(252), + [anon_sym_LT] = ACTIONS(252), + [anon_sym_LT_EQ] = ACTIONS(250), + [anon_sym_LT_GT] = ACTIONS(250), + [anon_sym_GT] = ACTIONS(252), + [anon_sym_GT_EQ] = ACTIONS(250), + [anon_sym_BANG_TILDE] = ACTIONS(252), + [anon_sym_TILDE_STAR] = ACTIONS(250), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(250), + }, + [53] = { + [ts_builtin_sym_end] = ACTIONS(254), + [anon_sym_SEMI] = ACTIONS(254), + [aux_sym_begin_statement_token1] = ACTIONS(254), + [aux_sym_commit_statement_token1] = ACTIONS(254), + [aux_sym_rollback_statement_token1] = ACTIONS(254), + [aux_sym_with_clause_token1] = ACTIONS(254), + [anon_sym_COMMA] = ACTIONS(254), + [aux_sym_cte_token1] = ACTIONS(254), + [aux_sym_cte_token2] = ACTIONS(254), + [aux_sym_insert_statement_token1] = ACTIONS(254), + [aux_sym_create_statement_token1] = ACTIONS(254), + [aux_sym_alter_statement_token1] = ACTIONS(254), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(254), + [aux_sym_pg_command_token1] = ACTIONS(254), + [aux_sym_create_function_statement_token3] = ACTIONS(254), + [aux_sym_optimizer_hint_token1] = ACTIONS(254), + [aux_sym_optimizer_hint_token2] = ACTIONS(254), + [aux_sym_optimizer_hint_token3] = ACTIONS(254), + [aux_sym_parallel_hint_token1] = ACTIONS(254), + [aux_sym_parallel_hint_token2] = ACTIONS(254), + [aux_sym_parallel_hint_token3] = ACTIONS(254), + [aux_sym_parallel_hint_token4] = ACTIONS(254), + [aux_sym_null_hint_token1] = ACTIONS(254), + [aux_sym_null_hint_token3] = ACTIONS(254), + [aux_sym_null_hint_token5] = ACTIONS(254), + [aux_sym__function_language_token1] = ACTIONS(254), + [aux_sym_create_function_parameter_token1] = ACTIONS(256), + [anon_sym_EQ] = ACTIONS(254), + [aux_sym_drop_statement_token1] = ACTIONS(254), + [aux_sym_grant_statement_token1] = ACTIONS(254), + [aux_sym_grant_statement_token4] = ACTIONS(254), + [aux_sym_grant_statement_token5] = ACTIONS(254), + [aux_sym_grant_statement_token6] = ACTIONS(254), + [aux_sym_grant_statement_token12] = ACTIONS(254), + [aux_sym_order_by_clause_token1] = ACTIONS(254), + [aux_sym_limit_clause_token1] = ACTIONS(254), + [aux_sym_is_expression_token1] = ACTIONS(254), + [aux_sym_boolean_expression_token1] = ACTIONS(254), + [aux_sym_boolean_expression_token2] = ACTIONS(256), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(254), + [anon_sym_DASH_GT] = ACTIONS(256), + [anon_sym_DASH_GT_GT] = ACTIONS(254), + [anon_sym_POUND_GT] = ACTIONS(256), + [anon_sym_POUND_GT_GT] = ACTIONS(254), + [anon_sym_LBRACK] = ACTIONS(254), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(254), + [anon_sym_DASH] = ACTIONS(256), + [anon_sym_TILDE] = ACTIONS(256), + [anon_sym_CARET] = ACTIONS(254), + [anon_sym_STAR] = ACTIONS(254), + [anon_sym_SLASH] = ACTIONS(256), + [anon_sym_PERCENT] = ACTIONS(254), + [anon_sym_LT_LT] = ACTIONS(254), + [anon_sym_GT_GT] = ACTIONS(254), + [anon_sym_AMP] = ACTIONS(254), + [anon_sym_PIPE] = ACTIONS(254), + [anon_sym_POUND] = ACTIONS(256), + [anon_sym_LT] = ACTIONS(256), + [anon_sym_LT_EQ] = ACTIONS(254), + [anon_sym_LT_GT] = ACTIONS(254), + [anon_sym_GT] = ACTIONS(256), + [anon_sym_GT_EQ] = ACTIONS(254), + [anon_sym_BANG_TILDE] = ACTIONS(256), + [anon_sym_TILDE_STAR] = ACTIONS(254), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(254), + }, + [54] = { + [ts_builtin_sym_end] = ACTIONS(258), + [anon_sym_SEMI] = ACTIONS(258), + [aux_sym_begin_statement_token1] = ACTIONS(258), + [aux_sym_commit_statement_token1] = ACTIONS(258), + [aux_sym_rollback_statement_token1] = ACTIONS(258), + [aux_sym_with_clause_token1] = ACTIONS(258), + [anon_sym_COMMA] = ACTIONS(258), + [aux_sym_cte_token1] = ACTIONS(258), + [aux_sym_cte_token2] = ACTIONS(258), + [aux_sym_insert_statement_token1] = ACTIONS(258), + [aux_sym_create_statement_token1] = ACTIONS(258), + [aux_sym_alter_statement_token1] = ACTIONS(258), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(258), + [aux_sym_pg_command_token1] = ACTIONS(258), + [aux_sym_create_function_statement_token3] = ACTIONS(258), + [aux_sym_optimizer_hint_token1] = ACTIONS(258), + [aux_sym_optimizer_hint_token2] = ACTIONS(258), + [aux_sym_optimizer_hint_token3] = ACTIONS(258), + [aux_sym_parallel_hint_token1] = ACTIONS(258), + [aux_sym_parallel_hint_token2] = ACTIONS(258), + [aux_sym_parallel_hint_token3] = ACTIONS(258), + [aux_sym_parallel_hint_token4] = ACTIONS(258), + [aux_sym_null_hint_token1] = ACTIONS(258), + [aux_sym_null_hint_token3] = ACTIONS(258), + [aux_sym_null_hint_token5] = ACTIONS(258), + [aux_sym__function_language_token1] = ACTIONS(258), + [aux_sym_create_function_parameter_token1] = ACTIONS(260), + [anon_sym_EQ] = ACTIONS(258), + [aux_sym_drop_statement_token1] = ACTIONS(258), + [aux_sym_grant_statement_token1] = ACTIONS(258), + [aux_sym_grant_statement_token4] = ACTIONS(258), + [aux_sym_grant_statement_token5] = ACTIONS(258), + [aux_sym_grant_statement_token6] = ACTIONS(258), + [aux_sym_grant_statement_token12] = ACTIONS(258), + [aux_sym_order_by_clause_token1] = ACTIONS(258), + [aux_sym_limit_clause_token1] = ACTIONS(258), + [aux_sym_is_expression_token1] = ACTIONS(258), + [aux_sym_boolean_expression_token1] = ACTIONS(258), + [aux_sym_boolean_expression_token2] = ACTIONS(260), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(258), + [anon_sym_DASH_GT] = ACTIONS(260), + [anon_sym_DASH_GT_GT] = ACTIONS(258), + [anon_sym_POUND_GT] = ACTIONS(260), + [anon_sym_POUND_GT_GT] = ACTIONS(258), + [anon_sym_LBRACK] = ACTIONS(258), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(258), + [anon_sym_DASH] = ACTIONS(260), + [anon_sym_TILDE] = ACTIONS(260), + [anon_sym_CARET] = ACTIONS(258), + [anon_sym_STAR] = ACTIONS(258), + [anon_sym_SLASH] = ACTIONS(260), + [anon_sym_PERCENT] = ACTIONS(258), + [anon_sym_LT_LT] = ACTIONS(258), + [anon_sym_GT_GT] = ACTIONS(258), + [anon_sym_AMP] = ACTIONS(258), + [anon_sym_PIPE] = ACTIONS(258), + [anon_sym_POUND] = ACTIONS(260), + [anon_sym_LT] = ACTIONS(260), + [anon_sym_LT_EQ] = ACTIONS(258), + [anon_sym_LT_GT] = ACTIONS(258), + [anon_sym_GT] = ACTIONS(260), + [anon_sym_GT_EQ] = ACTIONS(258), + [anon_sym_BANG_TILDE] = ACTIONS(260), + [anon_sym_TILDE_STAR] = ACTIONS(258), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(258), + }, + [55] = { + [aux_sym_dotted_name_repeat1] = STATE(62), + [ts_builtin_sym_end] = ACTIONS(113), + [anon_sym_SEMI] = ACTIONS(113), + [aux_sym_begin_statement_token1] = ACTIONS(113), + [aux_sym_commit_statement_token1] = ACTIONS(113), + [aux_sym_rollback_statement_token1] = ACTIONS(113), + [aux_sym_with_clause_token1] = ACTIONS(113), + [anon_sym_COMMA] = ACTIONS(113), + [aux_sym_cte_token2] = ACTIONS(113), + [aux_sym_insert_statement_token1] = ACTIONS(113), + [aux_sym_create_statement_token1] = ACTIONS(113), + [aux_sym_alter_statement_token1] = ACTIONS(113), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(113), + [aux_sym_alter_table_action_alter_column_token3] = ACTIONS(113), + [aux_sym_pg_command_token1] = ACTIONS(113), + [aux_sym_null_hint_token3] = ACTIONS(113), + [aux_sym_create_function_parameter_token1] = ACTIONS(115), + [anon_sym_EQ] = ACTIONS(113), + [aux_sym_drop_statement_token1] = ACTIONS(113), + [aux_sym_grant_statement_token1] = ACTIONS(113), + [aux_sym_grant_statement_token4] = ACTIONS(113), + [aux_sym_grant_statement_token5] = ACTIONS(113), + [aux_sym_grant_statement_token6] = ACTIONS(113), + [aux_sym_grant_statement_token8] = ACTIONS(113), + [aux_sym_auto_increment_constraint_token1] = ACTIONS(113), + [aux_sym_direction_constraint_token1] = ACTIONS(113), + [aux_sym_direction_constraint_token2] = ACTIONS(113), + [anon_sym_CONSTRAINT] = ACTIONS(113), + [aux_sym_table_constraint_check_token1] = ACTIONS(113), + [aux_sym_table_constraint_unique_token1] = ACTIONS(113), + [aux_sym_table_constraint_primary_key_token1] = ACTIONS(113), + [aux_sym_limit_clause_token1] = ACTIONS(113), + [aux_sym_where_clause_token1] = ACTIONS(113), + [aux_sym_from_clause_token1] = ACTIONS(113), + [aux_sym_is_expression_token1] = ACTIONS(113), + [aux_sym_boolean_expression_token1] = ACTIONS(113), + [aux_sym_boolean_expression_token2] = ACTIONS(113), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(113), + [anon_sym_DOT] = ACTIONS(181), + [anon_sym_DASH_GT] = ACTIONS(115), + [anon_sym_DASH_GT_GT] = ACTIONS(113), + [anon_sym_POUND_GT] = ACTIONS(115), + [anon_sym_POUND_GT_GT] = ACTIONS(113), + [anon_sym_COLON_COLON] = ACTIONS(113), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(113), + [anon_sym_DASH] = ACTIONS(115), + [anon_sym_TILDE] = ACTIONS(115), + [anon_sym_CARET] = ACTIONS(113), + [anon_sym_STAR] = ACTIONS(113), + [anon_sym_SLASH] = ACTIONS(115), + [anon_sym_PERCENT] = ACTIONS(113), + [anon_sym_LT_LT] = ACTIONS(113), + [anon_sym_GT_GT] = ACTIONS(113), + [anon_sym_AMP] = ACTIONS(113), + [anon_sym_PIPE] = ACTIONS(113), + [anon_sym_POUND] = ACTIONS(115), + [anon_sym_LT] = ACTIONS(115), + [anon_sym_LT_EQ] = ACTIONS(113), + [anon_sym_LT_GT] = ACTIONS(113), + [anon_sym_GT] = ACTIONS(115), + [anon_sym_GT_EQ] = ACTIONS(113), + [anon_sym_BANG_TILDE] = ACTIONS(115), + [anon_sym_TILDE_STAR] = ACTIONS(113), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(113), + [anon_sym_DOT_STAR] = ACTIONS(113), + }, + [56] = { + [ts_builtin_sym_end] = ACTIONS(143), + [anon_sym_SEMI] = ACTIONS(143), + [aux_sym_begin_statement_token1] = ACTIONS(149), + [aux_sym_commit_statement_token1] = ACTIONS(149), + [aux_sym_rollback_statement_token1] = ACTIONS(149), + [aux_sym_with_clause_token1] = ACTIONS(262), + [anon_sym_COMMA] = ACTIONS(143), + [aux_sym_cte_token1] = ACTIONS(149), + [aux_sym_cte_token2] = ACTIONS(149), + [anon_sym_LPAREN] = ACTIONS(264), + [aux_sym_insert_statement_token1] = ACTIONS(149), + [aux_sym_create_statement_token1] = ACTIONS(149), + [aux_sym_alter_statement_token1] = ACTIONS(149), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(149), + [aux_sym_pg_command_token1] = ACTIONS(143), + [aux_sym_create_function_parameter_token1] = ACTIONS(149), + [anon_sym_EQ] = ACTIONS(143), + [aux_sym_drop_statement_token1] = ACTIONS(149), + [aux_sym_grant_statement_token1] = ACTIONS(149), + [aux_sym_grant_statement_token4] = ACTIONS(149), + [aux_sym_grant_statement_token5] = ACTIONS(149), + [aux_sym_grant_statement_token6] = ACTIONS(149), + [aux_sym_grant_statement_token12] = ACTIONS(149), + [aux_sym_order_by_clause_token1] = ACTIONS(149), + [aux_sym_limit_clause_token1] = ACTIONS(149), + [aux_sym_where_clause_token1] = ACTIONS(149), + [aux_sym_join_type_token1] = ACTIONS(149), + [aux_sym_join_type_token2] = ACTIONS(149), + [aux_sym_join_type_token3] = ACTIONS(149), + [aux_sym_join_type_token4] = ACTIONS(149), + [aux_sym_join_clause_token1] = ACTIONS(149), + [aux_sym_is_expression_token1] = ACTIONS(149), + [aux_sym_boolean_expression_token1] = ACTIONS(149), + [aux_sym_boolean_expression_token2] = ACTIONS(149), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(149), + [sym__unquoted_identifier] = ACTIONS(149), + [anon_sym_BQUOTE] = ACTIONS(143), + [anon_sym_DQUOTE] = ACTIONS(143), + [anon_sym_DASH_GT] = ACTIONS(149), + [anon_sym_DASH_GT_GT] = ACTIONS(143), + [anon_sym_POUND_GT] = ACTIONS(149), + [anon_sym_POUND_GT_GT] = ACTIONS(143), + [aux_sym_type_token2] = ACTIONS(266), + [aux_sym_type_token3] = ACTIONS(268), + [anon_sym_LBRACK] = ACTIONS(143), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(143), + [anon_sym_DASH] = ACTIONS(149), + [anon_sym_TILDE] = ACTIONS(149), + [anon_sym_CARET] = ACTIONS(143), + [anon_sym_STAR] = ACTIONS(143), + [anon_sym_SLASH] = ACTIONS(149), + [anon_sym_PERCENT] = ACTIONS(143), + [anon_sym_LT_LT] = ACTIONS(143), + [anon_sym_GT_GT] = ACTIONS(143), + [anon_sym_AMP] = ACTIONS(143), + [anon_sym_PIPE] = ACTIONS(143), + [anon_sym_POUND] = ACTIONS(149), + [anon_sym_LT] = ACTIONS(149), + [anon_sym_LT_EQ] = ACTIONS(143), + [anon_sym_LT_GT] = ACTIONS(143), + [anon_sym_GT] = ACTIONS(149), + [anon_sym_GT_EQ] = ACTIONS(143), + [anon_sym_BANG_TILDE] = ACTIONS(149), + [anon_sym_TILDE_STAR] = ACTIONS(143), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(143), + }, + [57] = { + [ts_builtin_sym_end] = ACTIONS(270), + [anon_sym_SEMI] = ACTIONS(270), + [aux_sym_begin_statement_token1] = ACTIONS(270), + [aux_sym_commit_statement_token1] = ACTIONS(270), + [aux_sym_rollback_statement_token1] = ACTIONS(270), + [aux_sym_with_clause_token1] = ACTIONS(270), + [anon_sym_COMMA] = ACTIONS(270), + [aux_sym_cte_token1] = ACTIONS(270), + [aux_sym_cte_token2] = ACTIONS(270), + [aux_sym_insert_statement_token1] = ACTIONS(270), + [aux_sym_create_statement_token1] = ACTIONS(270), + [aux_sym_alter_statement_token1] = ACTIONS(270), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(270), + [aux_sym_pg_command_token1] = ACTIONS(270), + [aux_sym_create_function_statement_token3] = ACTIONS(270), + [aux_sym_optimizer_hint_token1] = ACTIONS(270), + [aux_sym_optimizer_hint_token2] = ACTIONS(270), + [aux_sym_optimizer_hint_token3] = ACTIONS(270), + [aux_sym_parallel_hint_token1] = ACTIONS(270), + [aux_sym_parallel_hint_token2] = ACTIONS(270), + [aux_sym_parallel_hint_token3] = ACTIONS(270), + [aux_sym_parallel_hint_token4] = ACTIONS(270), + [aux_sym_null_hint_token1] = ACTIONS(270), + [aux_sym_null_hint_token3] = ACTIONS(270), + [aux_sym_null_hint_token5] = ACTIONS(270), + [aux_sym__function_language_token1] = ACTIONS(270), + [aux_sym_create_function_parameter_token1] = ACTIONS(272), + [anon_sym_EQ] = ACTIONS(270), + [aux_sym_drop_statement_token1] = ACTIONS(270), + [aux_sym_grant_statement_token1] = ACTIONS(270), + [aux_sym_grant_statement_token4] = ACTIONS(270), + [aux_sym_grant_statement_token5] = ACTIONS(270), + [aux_sym_grant_statement_token6] = ACTIONS(270), + [aux_sym_grant_statement_token12] = ACTIONS(270), + [aux_sym_order_by_clause_token1] = ACTIONS(270), + [aux_sym_limit_clause_token1] = ACTIONS(270), + [aux_sym_is_expression_token1] = ACTIONS(270), + [aux_sym_boolean_expression_token1] = ACTIONS(270), + [aux_sym_boolean_expression_token2] = ACTIONS(272), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(270), + [anon_sym_DASH_GT] = ACTIONS(272), + [anon_sym_DASH_GT_GT] = ACTIONS(270), + [anon_sym_POUND_GT] = ACTIONS(272), + [anon_sym_POUND_GT_GT] = ACTIONS(270), + [anon_sym_LBRACK] = ACTIONS(270), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(270), + [anon_sym_DASH] = ACTIONS(272), + [anon_sym_TILDE] = ACTIONS(272), + [anon_sym_CARET] = ACTIONS(270), + [anon_sym_STAR] = ACTIONS(270), + [anon_sym_SLASH] = ACTIONS(272), + [anon_sym_PERCENT] = ACTIONS(270), + [anon_sym_LT_LT] = ACTIONS(270), + [anon_sym_GT_GT] = ACTIONS(270), + [anon_sym_AMP] = ACTIONS(270), + [anon_sym_PIPE] = ACTIONS(270), + [anon_sym_POUND] = ACTIONS(272), + [anon_sym_LT] = ACTIONS(272), + [anon_sym_LT_EQ] = ACTIONS(270), + [anon_sym_LT_GT] = ACTIONS(270), + [anon_sym_GT] = ACTIONS(272), + [anon_sym_GT_EQ] = ACTIONS(270), + [anon_sym_BANG_TILDE] = ACTIONS(272), + [anon_sym_TILDE_STAR] = ACTIONS(270), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(270), + }, + [58] = { + [ts_builtin_sym_end] = ACTIONS(159), + [anon_sym_SEMI] = ACTIONS(159), + [aux_sym_begin_statement_token1] = ACTIONS(165), + [aux_sym_commit_statement_token1] = ACTIONS(165), + [aux_sym_rollback_statement_token1] = ACTIONS(165), + [aux_sym_with_clause_token1] = ACTIONS(274), + [anon_sym_COMMA] = ACTIONS(159), + [aux_sym_cte_token1] = ACTIONS(165), + [aux_sym_cte_token2] = ACTIONS(165), + [anon_sym_LPAREN] = ACTIONS(276), + [aux_sym_insert_statement_token1] = ACTIONS(165), + [aux_sym_create_statement_token1] = ACTIONS(165), + [aux_sym_alter_statement_token1] = ACTIONS(165), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(165), + [aux_sym_pg_command_token1] = ACTIONS(159), + [aux_sym_create_function_parameter_token1] = ACTIONS(165), + [anon_sym_EQ] = ACTIONS(159), + [aux_sym_drop_statement_token1] = ACTIONS(165), + [aux_sym_grant_statement_token1] = ACTIONS(165), + [aux_sym_grant_statement_token4] = ACTIONS(165), + [aux_sym_grant_statement_token5] = ACTIONS(165), + [aux_sym_grant_statement_token6] = ACTIONS(165), + [aux_sym_grant_statement_token12] = ACTIONS(165), + [aux_sym_order_by_clause_token1] = ACTIONS(165), + [aux_sym_limit_clause_token1] = ACTIONS(165), + [aux_sym_where_clause_token1] = ACTIONS(165), + [aux_sym_from_clause_token1] = ACTIONS(165), + [aux_sym_join_type_token1] = ACTIONS(165), + [aux_sym_join_type_token2] = ACTIONS(165), + [aux_sym_join_type_token3] = ACTIONS(165), + [aux_sym_join_type_token4] = ACTIONS(165), + [aux_sym_join_clause_token1] = ACTIONS(165), + [aux_sym_is_expression_token1] = ACTIONS(165), + [aux_sym_boolean_expression_token1] = ACTIONS(165), + [aux_sym_boolean_expression_token2] = ACTIONS(165), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(165), + [sym__unquoted_identifier] = ACTIONS(165), + [anon_sym_BQUOTE] = ACTIONS(159), + [anon_sym_DQUOTE] = ACTIONS(159), + [anon_sym_DASH_GT] = ACTIONS(165), + [anon_sym_DASH_GT_GT] = ACTIONS(159), + [anon_sym_POUND_GT] = ACTIONS(165), + [anon_sym_POUND_GT_GT] = ACTIONS(159), + [aux_sym_type_token3] = ACTIONS(278), + [anon_sym_LBRACK] = ACTIONS(159), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(159), + [anon_sym_DASH] = ACTIONS(165), + [anon_sym_TILDE] = ACTIONS(165), + [anon_sym_CARET] = ACTIONS(159), + [anon_sym_STAR] = ACTIONS(159), + [anon_sym_SLASH] = ACTIONS(165), + [anon_sym_PERCENT] = ACTIONS(159), + [anon_sym_LT_LT] = ACTIONS(159), + [anon_sym_GT_GT] = ACTIONS(159), + [anon_sym_AMP] = ACTIONS(159), + [anon_sym_PIPE] = ACTIONS(159), + [anon_sym_POUND] = ACTIONS(165), + [anon_sym_LT] = ACTIONS(165), + [anon_sym_LT_EQ] = ACTIONS(159), + [anon_sym_LT_GT] = ACTIONS(159), + [anon_sym_GT] = ACTIONS(165), + [anon_sym_GT_EQ] = ACTIONS(159), + [anon_sym_BANG_TILDE] = ACTIONS(165), + [anon_sym_TILDE_STAR] = ACTIONS(159), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(159), + }, + [59] = { + [ts_builtin_sym_end] = ACTIONS(280), + [anon_sym_SEMI] = ACTIONS(280), + [aux_sym_begin_statement_token1] = ACTIONS(280), + [aux_sym_commit_statement_token1] = ACTIONS(280), + [aux_sym_rollback_statement_token1] = ACTIONS(280), + [aux_sym_with_clause_token1] = ACTIONS(280), + [anon_sym_COMMA] = ACTIONS(280), + [aux_sym_cte_token1] = ACTIONS(280), + [aux_sym_cte_token2] = ACTIONS(280), + [aux_sym_insert_statement_token1] = ACTIONS(280), + [aux_sym_create_statement_token1] = ACTIONS(280), + [aux_sym_alter_statement_token1] = ACTIONS(280), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(280), + [aux_sym_pg_command_token1] = ACTIONS(280), + [aux_sym_create_function_statement_token3] = ACTIONS(280), + [aux_sym_optimizer_hint_token1] = ACTIONS(280), + [aux_sym_optimizer_hint_token2] = ACTIONS(280), + [aux_sym_optimizer_hint_token3] = ACTIONS(280), + [aux_sym_parallel_hint_token1] = ACTIONS(280), + [aux_sym_parallel_hint_token2] = ACTIONS(280), + [aux_sym_parallel_hint_token3] = ACTIONS(280), + [aux_sym_parallel_hint_token4] = ACTIONS(280), + [aux_sym_null_hint_token1] = ACTIONS(280), + [aux_sym_null_hint_token3] = ACTIONS(280), + [aux_sym_null_hint_token5] = ACTIONS(280), + [aux_sym__function_language_token1] = ACTIONS(280), + [aux_sym_create_function_parameter_token1] = ACTIONS(282), + [anon_sym_EQ] = ACTIONS(280), + [aux_sym_drop_statement_token1] = ACTIONS(280), + [aux_sym_grant_statement_token1] = ACTIONS(280), + [aux_sym_grant_statement_token4] = ACTIONS(280), + [aux_sym_grant_statement_token5] = ACTIONS(280), + [aux_sym_grant_statement_token6] = ACTIONS(280), + [aux_sym_grant_statement_token12] = ACTIONS(280), + [aux_sym_order_by_clause_token1] = ACTIONS(280), + [aux_sym_limit_clause_token1] = ACTIONS(280), + [aux_sym_is_expression_token1] = ACTIONS(280), + [aux_sym_boolean_expression_token1] = ACTIONS(280), + [aux_sym_boolean_expression_token2] = ACTIONS(282), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(280), + [anon_sym_DASH_GT] = ACTIONS(282), + [anon_sym_DASH_GT_GT] = ACTIONS(280), + [anon_sym_POUND_GT] = ACTIONS(282), + [anon_sym_POUND_GT_GT] = ACTIONS(280), + [anon_sym_LBRACK] = ACTIONS(280), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(280), + [anon_sym_DASH] = ACTIONS(282), + [anon_sym_TILDE] = ACTIONS(282), + [anon_sym_CARET] = ACTIONS(280), + [anon_sym_STAR] = ACTIONS(280), + [anon_sym_SLASH] = ACTIONS(282), + [anon_sym_PERCENT] = ACTIONS(280), + [anon_sym_LT_LT] = ACTIONS(280), + [anon_sym_GT_GT] = ACTIONS(280), + [anon_sym_AMP] = ACTIONS(280), + [anon_sym_PIPE] = ACTIONS(280), + [anon_sym_POUND] = ACTIONS(282), + [anon_sym_LT] = ACTIONS(282), + [anon_sym_LT_EQ] = ACTIONS(280), + [anon_sym_LT_GT] = ACTIONS(280), + [anon_sym_GT] = ACTIONS(282), + [anon_sym_GT_EQ] = ACTIONS(280), + [anon_sym_BANG_TILDE] = ACTIONS(282), + [anon_sym_TILDE_STAR] = ACTIONS(280), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(280), + }, + [60] = { + [ts_builtin_sym_end] = ACTIONS(238), + [anon_sym_SEMI] = ACTIONS(238), + [aux_sym_begin_statement_token1] = ACTIONS(238), + [aux_sym_commit_statement_token1] = ACTIONS(238), + [aux_sym_rollback_statement_token1] = ACTIONS(238), + [aux_sym_with_clause_token1] = ACTIONS(238), + [anon_sym_COMMA] = ACTIONS(238), + [aux_sym_cte_token1] = ACTIONS(238), + [aux_sym_cte_token2] = ACTIONS(238), + [aux_sym_insert_statement_token1] = ACTIONS(238), + [aux_sym_create_statement_token1] = ACTIONS(238), + [aux_sym_alter_statement_token1] = ACTIONS(238), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(238), + [aux_sym_pg_command_token1] = ACTIONS(238), + [aux_sym_create_function_statement_token3] = ACTIONS(238), + [aux_sym_optimizer_hint_token1] = ACTIONS(238), + [aux_sym_optimizer_hint_token2] = ACTIONS(238), + [aux_sym_optimizer_hint_token3] = ACTIONS(238), + [aux_sym_parallel_hint_token1] = ACTIONS(238), + [aux_sym_parallel_hint_token2] = ACTIONS(238), + [aux_sym_parallel_hint_token3] = ACTIONS(238), + [aux_sym_parallel_hint_token4] = ACTIONS(238), + [aux_sym_null_hint_token1] = ACTIONS(238), + [aux_sym_null_hint_token3] = ACTIONS(238), + [aux_sym_null_hint_token5] = ACTIONS(238), + [aux_sym__function_language_token1] = ACTIONS(238), + [aux_sym_create_function_parameter_token1] = ACTIONS(242), + [anon_sym_EQ] = ACTIONS(238), + [aux_sym_drop_statement_token1] = ACTIONS(238), + [aux_sym_grant_statement_token1] = ACTIONS(238), + [aux_sym_grant_statement_token4] = ACTIONS(238), + [aux_sym_grant_statement_token5] = ACTIONS(238), + [aux_sym_grant_statement_token6] = ACTIONS(238), + [aux_sym_grant_statement_token12] = ACTIONS(238), + [aux_sym_order_by_clause_token1] = ACTIONS(238), + [aux_sym_limit_clause_token1] = ACTIONS(238), + [aux_sym_is_expression_token1] = ACTIONS(238), + [aux_sym_boolean_expression_token1] = ACTIONS(238), + [aux_sym_boolean_expression_token2] = ACTIONS(242), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(238), + [anon_sym_DASH_GT] = ACTIONS(242), + [anon_sym_DASH_GT_GT] = ACTIONS(238), + [anon_sym_POUND_GT] = ACTIONS(242), + [anon_sym_POUND_GT_GT] = ACTIONS(238), + [anon_sym_LBRACK] = ACTIONS(238), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(238), + [anon_sym_DASH] = ACTIONS(242), + [anon_sym_TILDE] = ACTIONS(242), + [anon_sym_CARET] = ACTIONS(238), + [anon_sym_STAR] = ACTIONS(238), + [anon_sym_SLASH] = ACTIONS(242), + [anon_sym_PERCENT] = ACTIONS(238), + [anon_sym_LT_LT] = ACTIONS(238), + [anon_sym_GT_GT] = ACTIONS(238), + [anon_sym_AMP] = ACTIONS(238), + [anon_sym_PIPE] = ACTIONS(238), + [anon_sym_POUND] = ACTIONS(242), + [anon_sym_LT] = ACTIONS(242), + [anon_sym_LT_EQ] = ACTIONS(238), + [anon_sym_LT_GT] = ACTIONS(238), + [anon_sym_GT] = ACTIONS(242), + [anon_sym_GT_EQ] = ACTIONS(238), + [anon_sym_BANG_TILDE] = ACTIONS(242), + [anon_sym_TILDE_STAR] = ACTIONS(238), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(238), + }, + [61] = { + [ts_builtin_sym_end] = ACTIONS(284), + [anon_sym_SEMI] = ACTIONS(284), + [aux_sym_begin_statement_token1] = ACTIONS(284), + [aux_sym_commit_statement_token1] = ACTIONS(284), + [aux_sym_rollback_statement_token1] = ACTIONS(284), + [aux_sym_with_clause_token1] = ACTIONS(284), + [anon_sym_COMMA] = ACTIONS(284), + [aux_sym_cte_token1] = ACTIONS(284), + [aux_sym_cte_token2] = ACTIONS(284), + [aux_sym_insert_statement_token1] = ACTIONS(284), + [aux_sym_create_statement_token1] = ACTIONS(284), + [aux_sym_alter_statement_token1] = ACTIONS(284), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(284), + [aux_sym_pg_command_token1] = ACTIONS(284), + [aux_sym_create_function_statement_token3] = ACTIONS(284), + [aux_sym_optimizer_hint_token1] = ACTIONS(284), + [aux_sym_optimizer_hint_token2] = ACTIONS(284), + [aux_sym_optimizer_hint_token3] = ACTIONS(284), + [aux_sym_parallel_hint_token1] = ACTIONS(284), + [aux_sym_parallel_hint_token2] = ACTIONS(284), + [aux_sym_parallel_hint_token3] = ACTIONS(284), + [aux_sym_parallel_hint_token4] = ACTIONS(284), + [aux_sym_null_hint_token1] = ACTIONS(284), + [aux_sym_null_hint_token3] = ACTIONS(284), + [aux_sym_null_hint_token5] = ACTIONS(284), + [aux_sym__function_language_token1] = ACTIONS(284), + [aux_sym_create_function_parameter_token1] = ACTIONS(286), + [anon_sym_EQ] = ACTIONS(284), + [aux_sym_drop_statement_token1] = ACTIONS(284), + [aux_sym_grant_statement_token1] = ACTIONS(284), + [aux_sym_grant_statement_token4] = ACTIONS(284), + [aux_sym_grant_statement_token5] = ACTIONS(284), + [aux_sym_grant_statement_token6] = ACTIONS(284), + [aux_sym_grant_statement_token12] = ACTIONS(284), + [aux_sym_order_by_clause_token1] = ACTIONS(284), + [aux_sym_limit_clause_token1] = ACTIONS(284), + [aux_sym_is_expression_token1] = ACTIONS(284), + [aux_sym_boolean_expression_token1] = ACTIONS(284), + [aux_sym_boolean_expression_token2] = ACTIONS(286), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(284), + [anon_sym_DASH_GT] = ACTIONS(286), + [anon_sym_DASH_GT_GT] = ACTIONS(284), + [anon_sym_POUND_GT] = ACTIONS(286), + [anon_sym_POUND_GT_GT] = ACTIONS(284), + [anon_sym_LBRACK] = ACTIONS(284), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(284), + [anon_sym_DASH] = ACTIONS(286), + [anon_sym_TILDE] = ACTIONS(286), + [anon_sym_CARET] = ACTIONS(284), + [anon_sym_STAR] = ACTIONS(284), + [anon_sym_SLASH] = ACTIONS(286), + [anon_sym_PERCENT] = ACTIONS(284), + [anon_sym_LT_LT] = ACTIONS(284), + [anon_sym_GT_GT] = ACTIONS(284), + [anon_sym_AMP] = ACTIONS(284), + [anon_sym_PIPE] = ACTIONS(284), + [anon_sym_POUND] = ACTIONS(286), + [anon_sym_LT] = ACTIONS(286), + [anon_sym_LT_EQ] = ACTIONS(284), + [anon_sym_LT_GT] = ACTIONS(284), + [anon_sym_GT] = ACTIONS(286), + [anon_sym_GT_EQ] = ACTIONS(284), + [anon_sym_BANG_TILDE] = ACTIONS(286), + [anon_sym_TILDE_STAR] = ACTIONS(284), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(284), + }, + [62] = { + [aux_sym_dotted_name_repeat1] = STATE(62), + [ts_builtin_sym_end] = ACTIONS(92), + [anon_sym_SEMI] = ACTIONS(92), + [aux_sym_begin_statement_token1] = ACTIONS(92), + [aux_sym_commit_statement_token1] = ACTIONS(92), + [aux_sym_rollback_statement_token1] = ACTIONS(92), + [aux_sym_with_clause_token1] = ACTIONS(92), + [anon_sym_COMMA] = ACTIONS(92), + [aux_sym_cte_token2] = ACTIONS(92), + [aux_sym_insert_statement_token1] = ACTIONS(92), + [aux_sym_create_statement_token1] = ACTIONS(92), + [aux_sym_alter_statement_token1] = ACTIONS(92), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(92), + [aux_sym_alter_table_action_alter_column_token3] = ACTIONS(92), + [aux_sym_pg_command_token1] = ACTIONS(92), + [aux_sym_null_hint_token3] = ACTIONS(92), + [aux_sym_create_function_parameter_token1] = ACTIONS(94), + [anon_sym_EQ] = ACTIONS(92), + [aux_sym_drop_statement_token1] = ACTIONS(92), + [aux_sym_grant_statement_token1] = ACTIONS(92), + [aux_sym_grant_statement_token4] = ACTIONS(92), + [aux_sym_grant_statement_token5] = ACTIONS(92), + [aux_sym_grant_statement_token6] = ACTIONS(92), + [aux_sym_grant_statement_token8] = ACTIONS(92), + [aux_sym_auto_increment_constraint_token1] = ACTIONS(92), + [aux_sym_direction_constraint_token1] = ACTIONS(92), + [aux_sym_direction_constraint_token2] = ACTIONS(92), + [anon_sym_CONSTRAINT] = ACTIONS(92), + [aux_sym_table_constraint_check_token1] = ACTIONS(92), + [aux_sym_table_constraint_unique_token1] = ACTIONS(92), + [aux_sym_table_constraint_primary_key_token1] = ACTIONS(92), + [aux_sym_limit_clause_token1] = ACTIONS(92), + [aux_sym_where_clause_token1] = ACTIONS(92), + [aux_sym_from_clause_token1] = ACTIONS(92), + [aux_sym_is_expression_token1] = ACTIONS(92), + [aux_sym_boolean_expression_token1] = ACTIONS(92), + [aux_sym_boolean_expression_token2] = ACTIONS(92), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(92), + [anon_sym_DOT] = ACTIONS(288), + [anon_sym_DASH_GT] = ACTIONS(94), + [anon_sym_DASH_GT_GT] = ACTIONS(92), + [anon_sym_POUND_GT] = ACTIONS(94), + [anon_sym_POUND_GT_GT] = ACTIONS(92), + [anon_sym_COLON_COLON] = ACTIONS(92), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(92), + [anon_sym_DASH] = ACTIONS(94), + [anon_sym_TILDE] = ACTIONS(94), + [anon_sym_CARET] = ACTIONS(92), + [anon_sym_STAR] = ACTIONS(92), + [anon_sym_SLASH] = ACTIONS(94), + [anon_sym_PERCENT] = ACTIONS(92), + [anon_sym_LT_LT] = ACTIONS(92), + [anon_sym_GT_GT] = ACTIONS(92), + [anon_sym_AMP] = ACTIONS(92), + [anon_sym_PIPE] = ACTIONS(92), + [anon_sym_POUND] = ACTIONS(94), + [anon_sym_LT] = ACTIONS(94), + [anon_sym_LT_EQ] = ACTIONS(92), + [anon_sym_LT_GT] = ACTIONS(92), + [anon_sym_GT] = ACTIONS(94), + [anon_sym_GT_EQ] = ACTIONS(92), + [anon_sym_BANG_TILDE] = ACTIONS(94), + [anon_sym_TILDE_STAR] = ACTIONS(92), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(92), + [anon_sym_DOT_STAR] = ACTIONS(92), + }, + [63] = { + [ts_builtin_sym_end] = ACTIONS(291), + [anon_sym_SEMI] = ACTIONS(291), + [aux_sym_begin_statement_token1] = ACTIONS(291), + [aux_sym_commit_statement_token1] = ACTIONS(291), + [aux_sym_rollback_statement_token1] = ACTIONS(291), + [aux_sym_with_clause_token1] = ACTIONS(291), + [anon_sym_COMMA] = ACTIONS(291), + [aux_sym_cte_token1] = ACTIONS(291), + [aux_sym_cte_token2] = ACTIONS(291), + [aux_sym_insert_statement_token1] = ACTIONS(291), + [aux_sym_create_statement_token1] = ACTIONS(291), + [aux_sym_alter_statement_token1] = ACTIONS(291), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(291), + [aux_sym_pg_command_token1] = ACTIONS(291), + [aux_sym_create_function_statement_token3] = ACTIONS(291), + [aux_sym_optimizer_hint_token1] = ACTIONS(291), + [aux_sym_optimizer_hint_token2] = ACTIONS(291), + [aux_sym_optimizer_hint_token3] = ACTIONS(291), + [aux_sym_parallel_hint_token1] = ACTIONS(291), + [aux_sym_parallel_hint_token2] = ACTIONS(291), + [aux_sym_parallel_hint_token3] = ACTIONS(291), + [aux_sym_parallel_hint_token4] = ACTIONS(291), + [aux_sym_null_hint_token1] = ACTIONS(291), + [aux_sym_null_hint_token3] = ACTIONS(291), + [aux_sym_null_hint_token5] = ACTIONS(291), + [aux_sym__function_language_token1] = ACTIONS(291), + [aux_sym_create_function_parameter_token1] = ACTIONS(293), + [anon_sym_EQ] = ACTIONS(291), + [aux_sym_drop_statement_token1] = ACTIONS(291), + [aux_sym_grant_statement_token1] = ACTIONS(291), + [aux_sym_grant_statement_token4] = ACTIONS(291), + [aux_sym_grant_statement_token5] = ACTIONS(291), + [aux_sym_grant_statement_token6] = ACTIONS(291), + [aux_sym_grant_statement_token12] = ACTIONS(291), + [aux_sym_order_by_clause_token1] = ACTIONS(291), + [aux_sym_limit_clause_token1] = ACTIONS(291), + [aux_sym_is_expression_token1] = ACTIONS(291), + [aux_sym_boolean_expression_token1] = ACTIONS(291), + [aux_sym_boolean_expression_token2] = ACTIONS(293), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(291), + [anon_sym_DASH_GT] = ACTIONS(293), + [anon_sym_DASH_GT_GT] = ACTIONS(291), + [anon_sym_POUND_GT] = ACTIONS(293), + [anon_sym_POUND_GT_GT] = ACTIONS(291), + [anon_sym_LBRACK] = ACTIONS(291), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(291), + [anon_sym_DASH] = ACTIONS(293), + [anon_sym_TILDE] = ACTIONS(293), + [anon_sym_CARET] = ACTIONS(291), + [anon_sym_STAR] = ACTIONS(291), + [anon_sym_SLASH] = ACTIONS(293), + [anon_sym_PERCENT] = ACTIONS(291), + [anon_sym_LT_LT] = ACTIONS(291), + [anon_sym_GT_GT] = ACTIONS(291), + [anon_sym_AMP] = ACTIONS(291), + [anon_sym_PIPE] = ACTIONS(291), + [anon_sym_POUND] = ACTIONS(293), + [anon_sym_LT] = ACTIONS(293), + [anon_sym_LT_EQ] = ACTIONS(291), + [anon_sym_LT_GT] = ACTIONS(291), + [anon_sym_GT] = ACTIONS(293), + [anon_sym_GT_EQ] = ACTIONS(291), + [anon_sym_BANG_TILDE] = ACTIONS(293), + [anon_sym_TILDE_STAR] = ACTIONS(291), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(291), + }, + [64] = { + [ts_builtin_sym_end] = ACTIONS(295), + [anon_sym_SEMI] = ACTIONS(295), + [aux_sym_begin_statement_token1] = ACTIONS(295), + [aux_sym_commit_statement_token1] = ACTIONS(295), + [aux_sym_rollback_statement_token1] = ACTIONS(295), + [aux_sym_with_clause_token1] = ACTIONS(295), + [anon_sym_COMMA] = ACTIONS(295), + [aux_sym_cte_token1] = ACTIONS(295), + [aux_sym_cte_token2] = ACTIONS(295), + [aux_sym_insert_statement_token1] = ACTIONS(295), + [aux_sym_create_statement_token1] = ACTIONS(295), + [aux_sym_alter_statement_token1] = ACTIONS(295), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(295), + [aux_sym_pg_command_token1] = ACTIONS(295), + [aux_sym_create_function_statement_token3] = ACTIONS(295), + [aux_sym_optimizer_hint_token1] = ACTIONS(295), + [aux_sym_optimizer_hint_token2] = ACTIONS(295), + [aux_sym_optimizer_hint_token3] = ACTIONS(295), + [aux_sym_parallel_hint_token1] = ACTIONS(295), + [aux_sym_parallel_hint_token2] = ACTIONS(295), + [aux_sym_parallel_hint_token3] = ACTIONS(295), + [aux_sym_parallel_hint_token4] = ACTIONS(295), + [aux_sym_null_hint_token1] = ACTIONS(295), + [aux_sym_null_hint_token3] = ACTIONS(295), + [aux_sym_null_hint_token5] = ACTIONS(295), + [aux_sym__function_language_token1] = ACTIONS(295), + [aux_sym_create_function_parameter_token1] = ACTIONS(297), + [anon_sym_EQ] = ACTIONS(295), + [aux_sym_drop_statement_token1] = ACTIONS(295), + [aux_sym_grant_statement_token1] = ACTIONS(295), + [aux_sym_grant_statement_token4] = ACTIONS(295), + [aux_sym_grant_statement_token5] = ACTIONS(295), + [aux_sym_grant_statement_token6] = ACTIONS(295), + [aux_sym_grant_statement_token12] = ACTIONS(295), + [aux_sym_table_constraint_check_token1] = ACTIONS(295), + [aux_sym_order_by_clause_token1] = ACTIONS(295), + [aux_sym_limit_clause_token1] = ACTIONS(295), + [aux_sym_is_expression_token1] = ACTIONS(295), + [aux_sym_boolean_expression_token1] = ACTIONS(295), + [aux_sym_boolean_expression_token2] = ACTIONS(297), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(295), + [anon_sym_DASH_GT] = ACTIONS(297), + [anon_sym_DASH_GT_GT] = ACTIONS(295), + [anon_sym_POUND_GT] = ACTIONS(297), + [anon_sym_POUND_GT_GT] = ACTIONS(295), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(295), + [anon_sym_DASH] = ACTIONS(297), + [anon_sym_TILDE] = ACTIONS(297), + [anon_sym_CARET] = ACTIONS(295), + [anon_sym_STAR] = ACTIONS(295), + [anon_sym_SLASH] = ACTIONS(297), + [anon_sym_PERCENT] = ACTIONS(295), + [anon_sym_LT_LT] = ACTIONS(295), + [anon_sym_GT_GT] = ACTIONS(295), + [anon_sym_AMP] = ACTIONS(295), + [anon_sym_PIPE] = ACTIONS(295), + [anon_sym_POUND] = ACTIONS(297), + [anon_sym_LT] = ACTIONS(297), + [anon_sym_LT_EQ] = ACTIONS(295), + [anon_sym_LT_GT] = ACTIONS(295), + [anon_sym_GT] = ACTIONS(297), + [anon_sym_GT_EQ] = ACTIONS(295), + [anon_sym_BANG_TILDE] = ACTIONS(297), + [anon_sym_TILDE_STAR] = ACTIONS(295), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(295), + }, + [65] = { + [ts_builtin_sym_end] = ACTIONS(143), + [anon_sym_SEMI] = ACTIONS(143), + [aux_sym_begin_statement_token1] = ACTIONS(143), + [aux_sym_commit_statement_token1] = ACTIONS(143), + [aux_sym_rollback_statement_token1] = ACTIONS(143), + [aux_sym_with_clause_token1] = ACTIONS(299), + [anon_sym_COMMA] = ACTIONS(143), + [aux_sym_cte_token2] = ACTIONS(143), + [anon_sym_LPAREN] = ACTIONS(301), + [aux_sym_insert_statement_token1] = ACTIONS(143), + [aux_sym_create_statement_token1] = ACTIONS(143), + [aux_sym_alter_statement_token1] = ACTIONS(143), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(143), + [aux_sym_alter_table_action_alter_column_token3] = ACTIONS(143), + [aux_sym_pg_command_token1] = ACTIONS(143), + [aux_sym_null_hint_token3] = ACTIONS(143), + [aux_sym_create_function_parameter_token1] = ACTIONS(149), + [anon_sym_EQ] = ACTIONS(143), + [aux_sym_drop_statement_token1] = ACTIONS(143), + [aux_sym_grant_statement_token1] = ACTIONS(143), + [aux_sym_grant_statement_token4] = ACTIONS(143), + [aux_sym_grant_statement_token5] = ACTIONS(143), + [aux_sym_grant_statement_token6] = ACTIONS(143), + [aux_sym_grant_statement_token8] = ACTIONS(143), + [aux_sym_auto_increment_constraint_token1] = ACTIONS(143), + [aux_sym_direction_constraint_token1] = ACTIONS(143), + [aux_sym_direction_constraint_token2] = ACTIONS(143), + [anon_sym_CONSTRAINT] = ACTIONS(143), + [aux_sym_table_constraint_check_token1] = ACTIONS(143), + [aux_sym_table_constraint_unique_token1] = ACTIONS(143), + [aux_sym_table_constraint_primary_key_token1] = ACTIONS(143), + [aux_sym_limit_clause_token1] = ACTIONS(143), + [aux_sym_where_clause_token1] = ACTIONS(143), + [aux_sym_from_clause_token1] = ACTIONS(143), + [aux_sym_is_expression_token1] = ACTIONS(143), + [aux_sym_boolean_expression_token1] = ACTIONS(143), + [aux_sym_boolean_expression_token2] = ACTIONS(143), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(143), + [anon_sym_DASH_GT] = ACTIONS(149), + [anon_sym_DASH_GT_GT] = ACTIONS(143), + [anon_sym_POUND_GT] = ACTIONS(149), + [anon_sym_POUND_GT_GT] = ACTIONS(143), + [aux_sym_type_token2] = ACTIONS(303), + [aux_sym_type_token3] = ACTIONS(305), + [anon_sym_LBRACK] = ACTIONS(143), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(143), + [anon_sym_DASH] = ACTIONS(149), + [anon_sym_TILDE] = ACTIONS(149), + [anon_sym_CARET] = ACTIONS(143), + [anon_sym_STAR] = ACTIONS(143), + [anon_sym_SLASH] = ACTIONS(149), + [anon_sym_PERCENT] = ACTIONS(143), + [anon_sym_LT_LT] = ACTIONS(143), + [anon_sym_GT_GT] = ACTIONS(143), + [anon_sym_AMP] = ACTIONS(143), + [anon_sym_PIPE] = ACTIONS(143), + [anon_sym_POUND] = ACTIONS(149), + [anon_sym_LT] = ACTIONS(149), + [anon_sym_LT_EQ] = ACTIONS(143), + [anon_sym_LT_GT] = ACTIONS(143), + [anon_sym_GT] = ACTIONS(149), + [anon_sym_GT_EQ] = ACTIONS(143), + [anon_sym_BANG_TILDE] = ACTIONS(149), + [anon_sym_TILDE_STAR] = ACTIONS(143), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(143), + }, + [66] = { + [ts_builtin_sym_end] = ACTIONS(209), + [anon_sym_SEMI] = ACTIONS(209), + [aux_sym_begin_statement_token1] = ACTIONS(209), + [aux_sym_commit_statement_token1] = ACTIONS(209), + [aux_sym_rollback_statement_token1] = ACTIONS(209), + [aux_sym_with_clause_token1] = ACTIONS(209), + [anon_sym_COMMA] = ACTIONS(209), + [aux_sym_cte_token1] = ACTIONS(209), + [aux_sym_cte_token2] = ACTIONS(209), + [aux_sym_insert_statement_token1] = ACTIONS(209), + [aux_sym_create_statement_token1] = ACTIONS(209), + [aux_sym_alter_statement_token1] = ACTIONS(209), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(209), + [aux_sym_pg_command_token1] = ACTIONS(209), + [aux_sym_create_function_statement_token3] = ACTIONS(209), + [aux_sym_optimizer_hint_token1] = ACTIONS(209), + [aux_sym_optimizer_hint_token2] = ACTIONS(209), + [aux_sym_optimizer_hint_token3] = ACTIONS(209), + [aux_sym_parallel_hint_token1] = ACTIONS(209), + [aux_sym_parallel_hint_token2] = ACTIONS(209), + [aux_sym_parallel_hint_token3] = ACTIONS(209), + [aux_sym_parallel_hint_token4] = ACTIONS(209), + [aux_sym_null_hint_token1] = ACTIONS(209), + [aux_sym_null_hint_token3] = ACTIONS(209), + [aux_sym_null_hint_token5] = ACTIONS(209), + [aux_sym__function_language_token1] = ACTIONS(209), + [aux_sym_create_function_parameter_token1] = ACTIONS(213), + [anon_sym_EQ] = ACTIONS(209), + [aux_sym_drop_statement_token1] = ACTIONS(209), + [aux_sym_grant_statement_token1] = ACTIONS(209), + [aux_sym_grant_statement_token4] = ACTIONS(209), + [aux_sym_grant_statement_token5] = ACTIONS(209), + [aux_sym_grant_statement_token6] = ACTIONS(209), + [aux_sym_grant_statement_token12] = ACTIONS(209), + [aux_sym_order_by_clause_token1] = ACTIONS(209), + [aux_sym_limit_clause_token1] = ACTIONS(209), + [aux_sym_is_expression_token1] = ACTIONS(209), + [aux_sym_boolean_expression_token1] = ACTIONS(209), + [aux_sym_boolean_expression_token2] = ACTIONS(213), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(209), + [anon_sym_DASH_GT] = ACTIONS(213), + [anon_sym_DASH_GT_GT] = ACTIONS(209), + [anon_sym_POUND_GT] = ACTIONS(213), + [anon_sym_POUND_GT_GT] = ACTIONS(209), + [anon_sym_LBRACK] = ACTIONS(209), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(209), + [anon_sym_DASH] = ACTIONS(213), + [anon_sym_TILDE] = ACTIONS(213), + [anon_sym_CARET] = ACTIONS(209), + [anon_sym_STAR] = ACTIONS(209), + [anon_sym_SLASH] = ACTIONS(213), + [anon_sym_PERCENT] = ACTIONS(209), + [anon_sym_LT_LT] = ACTIONS(209), + [anon_sym_GT_GT] = ACTIONS(209), + [anon_sym_AMP] = ACTIONS(209), + [anon_sym_PIPE] = ACTIONS(209), + [anon_sym_POUND] = ACTIONS(213), + [anon_sym_LT] = ACTIONS(213), + [anon_sym_LT_EQ] = ACTIONS(209), + [anon_sym_LT_GT] = ACTIONS(209), + [anon_sym_GT] = ACTIONS(213), + [anon_sym_GT_EQ] = ACTIONS(209), + [anon_sym_BANG_TILDE] = ACTIONS(213), + [anon_sym_TILDE_STAR] = ACTIONS(209), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(209), + }, + [67] = { + [ts_builtin_sym_end] = ACTIONS(307), + [anon_sym_SEMI] = ACTIONS(307), + [aux_sym_begin_statement_token1] = ACTIONS(307), + [aux_sym_commit_statement_token1] = ACTIONS(307), + [aux_sym_rollback_statement_token1] = ACTIONS(307), + [aux_sym_with_clause_token1] = ACTIONS(307), + [anon_sym_COMMA] = ACTIONS(307), + [aux_sym_cte_token1] = ACTIONS(307), + [aux_sym_cte_token2] = ACTIONS(307), + [aux_sym_insert_statement_token1] = ACTIONS(307), + [aux_sym_create_statement_token1] = ACTIONS(307), + [aux_sym_alter_statement_token1] = ACTIONS(307), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(307), + [aux_sym_pg_command_token1] = ACTIONS(307), + [aux_sym_create_function_statement_token3] = ACTIONS(307), + [aux_sym_optimizer_hint_token1] = ACTIONS(307), + [aux_sym_optimizer_hint_token2] = ACTIONS(307), + [aux_sym_optimizer_hint_token3] = ACTIONS(307), + [aux_sym_parallel_hint_token1] = ACTIONS(307), + [aux_sym_parallel_hint_token2] = ACTIONS(307), + [aux_sym_parallel_hint_token3] = ACTIONS(307), + [aux_sym_parallel_hint_token4] = ACTIONS(307), + [aux_sym_null_hint_token1] = ACTIONS(307), + [aux_sym_null_hint_token3] = ACTIONS(307), + [aux_sym_null_hint_token5] = ACTIONS(307), + [aux_sym__function_language_token1] = ACTIONS(307), + [aux_sym_create_function_parameter_token1] = ACTIONS(309), + [anon_sym_EQ] = ACTIONS(307), + [aux_sym_drop_statement_token1] = ACTIONS(307), + [aux_sym_grant_statement_token1] = ACTIONS(307), + [aux_sym_grant_statement_token4] = ACTIONS(307), + [aux_sym_grant_statement_token5] = ACTIONS(307), + [aux_sym_grant_statement_token6] = ACTIONS(307), + [aux_sym_grant_statement_token12] = ACTIONS(307), + [aux_sym_order_by_clause_token1] = ACTIONS(307), + [aux_sym_limit_clause_token1] = ACTIONS(307), + [aux_sym_is_expression_token1] = ACTIONS(307), + [aux_sym_boolean_expression_token1] = ACTIONS(307), + [aux_sym_boolean_expression_token2] = ACTIONS(309), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(307), + [anon_sym_DASH_GT] = ACTIONS(309), + [anon_sym_DASH_GT_GT] = ACTIONS(307), + [anon_sym_POUND_GT] = ACTIONS(309), + [anon_sym_POUND_GT_GT] = ACTIONS(307), + [anon_sym_LBRACK] = ACTIONS(307), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(307), + [anon_sym_DASH] = ACTIONS(309), + [anon_sym_TILDE] = ACTIONS(309), + [anon_sym_CARET] = ACTIONS(307), + [anon_sym_STAR] = ACTIONS(307), + [anon_sym_SLASH] = ACTIONS(309), + [anon_sym_PERCENT] = ACTIONS(307), + [anon_sym_LT_LT] = ACTIONS(307), + [anon_sym_GT_GT] = ACTIONS(307), + [anon_sym_AMP] = ACTIONS(307), + [anon_sym_PIPE] = ACTIONS(307), + [anon_sym_POUND] = ACTIONS(309), + [anon_sym_LT] = ACTIONS(309), + [anon_sym_LT_EQ] = ACTIONS(307), + [anon_sym_LT_GT] = ACTIONS(307), + [anon_sym_GT] = ACTIONS(309), + [anon_sym_GT_EQ] = ACTIONS(307), + [anon_sym_BANG_TILDE] = ACTIONS(309), + [anon_sym_TILDE_STAR] = ACTIONS(307), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(307), + }, + [68] = { + [ts_builtin_sym_end] = ACTIONS(191), + [anon_sym_SEMI] = ACTIONS(191), + [aux_sym_begin_statement_token1] = ACTIONS(191), + [aux_sym_commit_statement_token1] = ACTIONS(191), + [aux_sym_rollback_statement_token1] = ACTIONS(191), + [aux_sym_with_clause_token1] = ACTIONS(191), + [anon_sym_COMMA] = ACTIONS(191), + [aux_sym_cte_token1] = ACTIONS(191), + [aux_sym_cte_token2] = ACTIONS(191), + [aux_sym_insert_statement_token1] = ACTIONS(191), + [aux_sym_create_statement_token1] = ACTIONS(191), + [aux_sym_alter_statement_token1] = ACTIONS(191), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(191), + [aux_sym_pg_command_token1] = ACTIONS(191), + [aux_sym_create_function_statement_token3] = ACTIONS(191), + [aux_sym_optimizer_hint_token1] = ACTIONS(191), + [aux_sym_optimizer_hint_token2] = ACTIONS(191), + [aux_sym_optimizer_hint_token3] = ACTIONS(191), + [aux_sym_parallel_hint_token1] = ACTIONS(191), + [aux_sym_parallel_hint_token2] = ACTIONS(191), + [aux_sym_parallel_hint_token3] = ACTIONS(191), + [aux_sym_parallel_hint_token4] = ACTIONS(191), + [aux_sym_null_hint_token1] = ACTIONS(191), + [aux_sym_null_hint_token3] = ACTIONS(191), + [aux_sym_null_hint_token5] = ACTIONS(191), + [aux_sym__function_language_token1] = ACTIONS(191), + [aux_sym_create_function_parameter_token1] = ACTIONS(195), + [anon_sym_EQ] = ACTIONS(191), + [aux_sym_drop_statement_token1] = ACTIONS(191), + [aux_sym_grant_statement_token1] = ACTIONS(191), + [aux_sym_grant_statement_token4] = ACTIONS(191), + [aux_sym_grant_statement_token5] = ACTIONS(191), + [aux_sym_grant_statement_token6] = ACTIONS(191), + [aux_sym_grant_statement_token12] = ACTIONS(191), + [aux_sym_order_by_clause_token1] = ACTIONS(191), + [aux_sym_limit_clause_token1] = ACTIONS(191), + [aux_sym_is_expression_token1] = ACTIONS(191), + [aux_sym_boolean_expression_token1] = ACTIONS(191), + [aux_sym_boolean_expression_token2] = ACTIONS(195), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(191), + [anon_sym_DASH_GT] = ACTIONS(195), + [anon_sym_DASH_GT_GT] = ACTIONS(191), + [anon_sym_POUND_GT] = ACTIONS(195), + [anon_sym_POUND_GT_GT] = ACTIONS(191), + [anon_sym_LBRACK] = ACTIONS(191), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(191), + [anon_sym_DASH] = ACTIONS(195), + [anon_sym_TILDE] = ACTIONS(195), + [anon_sym_CARET] = ACTIONS(191), + [anon_sym_STAR] = ACTIONS(191), + [anon_sym_SLASH] = ACTIONS(195), + [anon_sym_PERCENT] = ACTIONS(191), + [anon_sym_LT_LT] = ACTIONS(191), + [anon_sym_GT_GT] = ACTIONS(191), + [anon_sym_AMP] = ACTIONS(191), + [anon_sym_PIPE] = ACTIONS(191), + [anon_sym_POUND] = ACTIONS(195), + [anon_sym_LT] = ACTIONS(195), + [anon_sym_LT_EQ] = ACTIONS(191), + [anon_sym_LT_GT] = ACTIONS(191), + [anon_sym_GT] = ACTIONS(195), + [anon_sym_GT_EQ] = ACTIONS(191), + [anon_sym_BANG_TILDE] = ACTIONS(195), + [anon_sym_TILDE_STAR] = ACTIONS(191), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(191), + }, + [69] = { + [aux_sym_dotted_name_repeat1] = STATE(69), + [ts_builtin_sym_end] = ACTIONS(92), + [anon_sym_SEMI] = ACTIONS(92), + [aux_sym_begin_statement_token1] = ACTIONS(94), + [aux_sym_commit_statement_token1] = ACTIONS(94), + [aux_sym_rollback_statement_token1] = ACTIONS(94), + [aux_sym_with_clause_token1] = ACTIONS(94), + [anon_sym_COMMA] = ACTIONS(92), + [aux_sym_cte_token1] = ACTIONS(94), + [aux_sym_cte_token2] = ACTIONS(94), + [aux_sym_insert_statement_token1] = ACTIONS(94), + [aux_sym_create_statement_token1] = ACTIONS(94), + [aux_sym_alter_statement_token1] = ACTIONS(94), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(94), + [aux_sym_pg_command_token1] = ACTIONS(92), + [aux_sym_create_function_parameter_token1] = ACTIONS(94), + [anon_sym_EQ] = ACTIONS(92), + [aux_sym_drop_statement_token1] = ACTIONS(94), + [aux_sym_grant_statement_token1] = ACTIONS(94), + [aux_sym_grant_statement_token4] = ACTIONS(94), + [aux_sym_grant_statement_token5] = ACTIONS(94), + [aux_sym_grant_statement_token6] = ACTIONS(94), + [aux_sym_grant_statement_token12] = ACTIONS(94), + [aux_sym_order_by_clause_token1] = ACTIONS(94), + [aux_sym_limit_clause_token1] = ACTIONS(94), + [aux_sym_where_clause_token1] = ACTIONS(94), + [aux_sym_join_type_token1] = ACTIONS(94), + [aux_sym_join_type_token2] = ACTIONS(94), + [aux_sym_join_type_token3] = ACTIONS(94), + [aux_sym_join_type_token4] = ACTIONS(94), + [aux_sym_join_clause_token1] = ACTIONS(94), + [aux_sym_is_expression_token1] = ACTIONS(94), + [aux_sym_boolean_expression_token1] = ACTIONS(94), + [aux_sym_boolean_expression_token2] = ACTIONS(94), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(94), + [sym__unquoted_identifier] = ACTIONS(94), + [anon_sym_BQUOTE] = ACTIONS(92), + [anon_sym_DQUOTE] = ACTIONS(92), + [anon_sym_DOT] = ACTIONS(311), + [anon_sym_DASH_GT] = ACTIONS(94), + [anon_sym_DASH_GT_GT] = ACTIONS(92), + [anon_sym_POUND_GT] = ACTIONS(94), + [anon_sym_POUND_GT_GT] = ACTIONS(92), + [anon_sym_COLON_COLON] = ACTIONS(92), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(92), + [anon_sym_DASH] = ACTIONS(94), + [anon_sym_TILDE] = ACTIONS(94), + [anon_sym_CARET] = ACTIONS(92), + [anon_sym_STAR] = ACTIONS(92), + [anon_sym_SLASH] = ACTIONS(94), + [anon_sym_PERCENT] = ACTIONS(92), + [anon_sym_LT_LT] = ACTIONS(92), + [anon_sym_GT_GT] = ACTIONS(92), + [anon_sym_AMP] = ACTIONS(92), + [anon_sym_PIPE] = ACTIONS(92), + [anon_sym_POUND] = ACTIONS(94), + [anon_sym_LT] = ACTIONS(94), + [anon_sym_LT_EQ] = ACTIONS(92), + [anon_sym_LT_GT] = ACTIONS(92), + [anon_sym_GT] = ACTIONS(94), + [anon_sym_GT_EQ] = ACTIONS(92), + [anon_sym_BANG_TILDE] = ACTIONS(94), + [anon_sym_TILDE_STAR] = ACTIONS(92), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(92), + [anon_sym_DOT_STAR] = ACTIONS(92), + }, + [70] = { + [aux_sym_dotted_name_repeat1] = STATE(69), + [ts_builtin_sym_end] = ACTIONS(113), + [anon_sym_SEMI] = ACTIONS(113), + [aux_sym_begin_statement_token1] = ACTIONS(115), + [aux_sym_commit_statement_token1] = ACTIONS(115), + [aux_sym_rollback_statement_token1] = ACTIONS(115), + [aux_sym_with_clause_token1] = ACTIONS(115), + [anon_sym_COMMA] = ACTIONS(113), + [aux_sym_cte_token1] = ACTIONS(115), + [aux_sym_cte_token2] = ACTIONS(115), + [aux_sym_insert_statement_token1] = ACTIONS(115), + [aux_sym_create_statement_token1] = ACTIONS(115), + [aux_sym_alter_statement_token1] = ACTIONS(115), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(115), + [aux_sym_pg_command_token1] = ACTIONS(113), + [aux_sym_create_function_parameter_token1] = ACTIONS(115), + [anon_sym_EQ] = ACTIONS(113), + [aux_sym_drop_statement_token1] = ACTIONS(115), + [aux_sym_grant_statement_token1] = ACTIONS(115), + [aux_sym_grant_statement_token4] = ACTIONS(115), + [aux_sym_grant_statement_token5] = ACTIONS(115), + [aux_sym_grant_statement_token6] = ACTIONS(115), + [aux_sym_grant_statement_token12] = ACTIONS(115), + [aux_sym_order_by_clause_token1] = ACTIONS(115), + [aux_sym_limit_clause_token1] = ACTIONS(115), + [aux_sym_where_clause_token1] = ACTIONS(115), + [aux_sym_join_type_token1] = ACTIONS(115), + [aux_sym_join_type_token2] = ACTIONS(115), + [aux_sym_join_type_token3] = ACTIONS(115), + [aux_sym_join_type_token4] = ACTIONS(115), + [aux_sym_join_clause_token1] = ACTIONS(115), + [aux_sym_is_expression_token1] = ACTIONS(115), + [aux_sym_boolean_expression_token1] = ACTIONS(115), + [aux_sym_boolean_expression_token2] = ACTIONS(115), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(115), + [sym__unquoted_identifier] = ACTIONS(115), + [anon_sym_BQUOTE] = ACTIONS(113), + [anon_sym_DQUOTE] = ACTIONS(113), + [anon_sym_DOT] = ACTIONS(187), + [anon_sym_DASH_GT] = ACTIONS(115), + [anon_sym_DASH_GT_GT] = ACTIONS(113), + [anon_sym_POUND_GT] = ACTIONS(115), + [anon_sym_POUND_GT_GT] = ACTIONS(113), + [anon_sym_COLON_COLON] = ACTIONS(113), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(113), + [anon_sym_DASH] = ACTIONS(115), + [anon_sym_TILDE] = ACTIONS(115), + [anon_sym_CARET] = ACTIONS(113), + [anon_sym_STAR] = ACTIONS(113), + [anon_sym_SLASH] = ACTIONS(115), + [anon_sym_PERCENT] = ACTIONS(113), + [anon_sym_LT_LT] = ACTIONS(113), + [anon_sym_GT_GT] = ACTIONS(113), + [anon_sym_AMP] = ACTIONS(113), + [anon_sym_PIPE] = ACTIONS(113), + [anon_sym_POUND] = ACTIONS(115), + [anon_sym_LT] = ACTIONS(115), + [anon_sym_LT_EQ] = ACTIONS(113), + [anon_sym_LT_GT] = ACTIONS(113), + [anon_sym_GT] = ACTIONS(115), + [anon_sym_GT_EQ] = ACTIONS(113), + [anon_sym_BANG_TILDE] = ACTIONS(115), + [anon_sym_TILDE_STAR] = ACTIONS(113), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(113), + [anon_sym_DOT_STAR] = ACTIONS(113), + }, + [71] = { + [ts_builtin_sym_end] = ACTIONS(92), + [anon_sym_SEMI] = ACTIONS(92), + [aux_sym_begin_statement_token1] = ACTIONS(94), + [aux_sym_commit_statement_token1] = ACTIONS(94), + [aux_sym_rollback_statement_token1] = ACTIONS(94), + [aux_sym_with_clause_token1] = ACTIONS(94), + [anon_sym_COMMA] = ACTIONS(92), + [aux_sym_cte_token1] = ACTIONS(94), + [aux_sym_cte_token2] = ACTIONS(94), + [aux_sym_insert_statement_token1] = ACTIONS(94), + [aux_sym_create_statement_token1] = ACTIONS(94), + [aux_sym_alter_statement_token1] = ACTIONS(94), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(94), + [aux_sym_pg_command_token1] = ACTIONS(92), + [aux_sym_create_function_parameter_token1] = ACTIONS(94), + [anon_sym_EQ] = ACTIONS(92), + [aux_sym_drop_statement_token1] = ACTIONS(94), + [aux_sym_grant_statement_token1] = ACTIONS(94), + [aux_sym_grant_statement_token4] = ACTIONS(94), + [aux_sym_grant_statement_token5] = ACTIONS(94), + [aux_sym_grant_statement_token6] = ACTIONS(94), + [aux_sym_grant_statement_token12] = ACTIONS(94), + [aux_sym_order_by_clause_token1] = ACTIONS(94), + [aux_sym_limit_clause_token1] = ACTIONS(94), + [aux_sym_where_clause_token1] = ACTIONS(94), + [aux_sym_join_type_token1] = ACTIONS(94), + [aux_sym_join_type_token2] = ACTIONS(94), + [aux_sym_join_type_token3] = ACTIONS(94), + [aux_sym_join_type_token4] = ACTIONS(94), + [aux_sym_join_clause_token1] = ACTIONS(94), + [aux_sym_is_expression_token1] = ACTIONS(94), + [aux_sym_boolean_expression_token1] = ACTIONS(94), + [aux_sym_boolean_expression_token2] = ACTIONS(94), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(94), + [sym__unquoted_identifier] = ACTIONS(94), + [anon_sym_BQUOTE] = ACTIONS(92), + [anon_sym_DQUOTE] = ACTIONS(92), + [anon_sym_DOT] = ACTIONS(94), + [anon_sym_DASH_GT] = ACTIONS(94), + [anon_sym_DASH_GT_GT] = ACTIONS(92), + [anon_sym_POUND_GT] = ACTIONS(94), + [anon_sym_POUND_GT_GT] = ACTIONS(92), + [anon_sym_COLON_COLON] = ACTIONS(92), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(92), + [anon_sym_DASH] = ACTIONS(94), + [anon_sym_TILDE] = ACTIONS(94), + [anon_sym_CARET] = ACTIONS(92), + [anon_sym_STAR] = ACTIONS(92), + [anon_sym_SLASH] = ACTIONS(94), + [anon_sym_PERCENT] = ACTIONS(92), + [anon_sym_LT_LT] = ACTIONS(92), + [anon_sym_GT_GT] = ACTIONS(92), + [anon_sym_AMP] = ACTIONS(92), + [anon_sym_PIPE] = ACTIONS(92), + [anon_sym_POUND] = ACTIONS(94), + [anon_sym_LT] = ACTIONS(94), + [anon_sym_LT_EQ] = ACTIONS(92), + [anon_sym_LT_GT] = ACTIONS(92), + [anon_sym_GT] = ACTIONS(94), + [anon_sym_GT_EQ] = ACTIONS(92), + [anon_sym_BANG_TILDE] = ACTIONS(94), + [anon_sym_TILDE_STAR] = ACTIONS(92), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(92), + [anon_sym_DOT_STAR] = ACTIONS(92), + }, + [72] = { + [ts_builtin_sym_end] = ACTIONS(314), + [anon_sym_SEMI] = ACTIONS(314), + [aux_sym_begin_statement_token1] = ACTIONS(316), + [aux_sym_commit_statement_token1] = ACTIONS(316), + [aux_sym_rollback_statement_token1] = ACTIONS(316), + [aux_sym_with_clause_token1] = ACTIONS(316), + [anon_sym_COMMA] = ACTIONS(314), + [aux_sym_cte_token1] = ACTIONS(316), + [aux_sym_cte_token2] = ACTIONS(316), + [anon_sym_RPAREN] = ACTIONS(314), + [aux_sym_insert_statement_token1] = ACTIONS(316), + [aux_sym_create_statement_token1] = ACTIONS(316), + [aux_sym_alter_statement_token1] = ACTIONS(316), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(316), + [aux_sym_pg_command_token1] = ACTIONS(314), + [aux_sym_create_function_parameter_token1] = ACTIONS(316), + [anon_sym_EQ] = ACTIONS(314), + [aux_sym_drop_statement_token1] = ACTIONS(316), + [aux_sym_grant_statement_token1] = ACTIONS(316), + [aux_sym_grant_statement_token4] = ACTIONS(316), + [aux_sym_grant_statement_token5] = ACTIONS(316), + [aux_sym_grant_statement_token6] = ACTIONS(316), + [aux_sym_grant_statement_token12] = ACTIONS(316), + [aux_sym_order_by_clause_token1] = ACTIONS(316), + [aux_sym_limit_clause_token1] = ACTIONS(316), + [aux_sym_where_clause_token1] = ACTIONS(316), + [aux_sym_from_clause_token1] = ACTIONS(316), + [aux_sym_join_type_token1] = ACTIONS(316), + [aux_sym_join_type_token2] = ACTIONS(316), + [aux_sym_join_type_token3] = ACTIONS(316), + [aux_sym_join_type_token4] = ACTIONS(316), + [aux_sym_join_clause_token1] = ACTIONS(316), + [aux_sym_is_expression_token1] = ACTIONS(316), + [aux_sym_boolean_expression_token1] = ACTIONS(316), + [aux_sym_boolean_expression_token2] = ACTIONS(316), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(316), + [sym__unquoted_identifier] = ACTIONS(316), + [anon_sym_BQUOTE] = ACTIONS(314), + [anon_sym_DQUOTE] = ACTIONS(314), + [anon_sym_DASH_GT] = ACTIONS(316), + [anon_sym_DASH_GT_GT] = ACTIONS(314), + [anon_sym_POUND_GT] = ACTIONS(316), + [anon_sym_POUND_GT_GT] = ACTIONS(314), + [anon_sym_RBRACK] = ACTIONS(314), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(314), + [anon_sym_DASH] = ACTIONS(316), + [anon_sym_TILDE] = ACTIONS(316), + [anon_sym_CARET] = ACTIONS(314), + [anon_sym_STAR] = ACTIONS(314), + [anon_sym_SLASH] = ACTIONS(316), + [anon_sym_PERCENT] = ACTIONS(314), + [anon_sym_LT_LT] = ACTIONS(314), + [anon_sym_GT_GT] = ACTIONS(314), + [anon_sym_AMP] = ACTIONS(314), + [anon_sym_PIPE] = ACTIONS(314), + [anon_sym_POUND] = ACTIONS(316), + [anon_sym_LT] = ACTIONS(316), + [anon_sym_LT_EQ] = ACTIONS(314), + [anon_sym_LT_GT] = ACTIONS(314), + [anon_sym_GT] = ACTIONS(316), + [anon_sym_GT_EQ] = ACTIONS(314), + [anon_sym_BANG_TILDE] = ACTIONS(316), + [anon_sym_TILDE_STAR] = ACTIONS(314), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(314), + }, + [73] = { + [ts_builtin_sym_end] = ACTIONS(143), + [anon_sym_SEMI] = ACTIONS(143), + [aux_sym_begin_statement_token1] = ACTIONS(143), + [aux_sym_commit_statement_token1] = ACTIONS(143), + [aux_sym_rollback_statement_token1] = ACTIONS(143), + [aux_sym_with_clause_token1] = ACTIONS(299), + [anon_sym_COMMA] = ACTIONS(143), + [aux_sym_cte_token2] = ACTIONS(143), + [anon_sym_LPAREN] = ACTIONS(301), + [aux_sym_insert_statement_token1] = ACTIONS(143), + [aux_sym_create_statement_token1] = ACTIONS(143), + [aux_sym_alter_statement_token1] = ACTIONS(143), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(143), + [aux_sym_alter_table_action_alter_column_token3] = ACTIONS(143), + [aux_sym_pg_command_token1] = ACTIONS(143), + [aux_sym_null_hint_token3] = ACTIONS(143), + [aux_sym_create_function_parameter_token1] = ACTIONS(149), + [anon_sym_EQ] = ACTIONS(143), + [aux_sym_drop_statement_token1] = ACTIONS(143), + [aux_sym_grant_statement_token1] = ACTIONS(143), + [aux_sym_grant_statement_token4] = ACTIONS(143), + [aux_sym_grant_statement_token5] = ACTIONS(143), + [aux_sym_grant_statement_token6] = ACTIONS(143), + [aux_sym_grant_statement_token8] = ACTIONS(143), + [aux_sym_auto_increment_constraint_token1] = ACTIONS(143), + [aux_sym_direction_constraint_token1] = ACTIONS(143), + [aux_sym_direction_constraint_token2] = ACTIONS(143), + [anon_sym_CONSTRAINT] = ACTIONS(143), + [aux_sym_table_constraint_check_token1] = ACTIONS(143), + [aux_sym_table_constraint_unique_token1] = ACTIONS(143), + [aux_sym_table_constraint_primary_key_token1] = ACTIONS(143), + [aux_sym_limit_clause_token1] = ACTIONS(143), + [aux_sym_where_clause_token1] = ACTIONS(143), + [aux_sym_from_clause_token1] = ACTIONS(143), + [aux_sym_is_expression_token1] = ACTIONS(143), + [aux_sym_boolean_expression_token1] = ACTIONS(143), + [aux_sym_boolean_expression_token2] = ACTIONS(143), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(143), + [anon_sym_DASH_GT] = ACTIONS(149), + [anon_sym_DASH_GT_GT] = ACTIONS(143), + [anon_sym_POUND_GT] = ACTIONS(149), + [anon_sym_POUND_GT_GT] = ACTIONS(143), + [aux_sym_type_token3] = ACTIONS(305), + [anon_sym_LBRACK] = ACTIONS(143), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(143), + [anon_sym_DASH] = ACTIONS(149), + [anon_sym_TILDE] = ACTIONS(149), + [anon_sym_CARET] = ACTIONS(143), + [anon_sym_STAR] = ACTIONS(143), + [anon_sym_SLASH] = ACTIONS(149), + [anon_sym_PERCENT] = ACTIONS(143), + [anon_sym_LT_LT] = ACTIONS(143), + [anon_sym_GT_GT] = ACTIONS(143), + [anon_sym_AMP] = ACTIONS(143), + [anon_sym_PIPE] = ACTIONS(143), + [anon_sym_POUND] = ACTIONS(149), + [anon_sym_LT] = ACTIONS(149), + [anon_sym_LT_EQ] = ACTIONS(143), + [anon_sym_LT_GT] = ACTIONS(143), + [anon_sym_GT] = ACTIONS(149), + [anon_sym_GT_EQ] = ACTIONS(143), + [anon_sym_BANG_TILDE] = ACTIONS(149), + [anon_sym_TILDE_STAR] = ACTIONS(143), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(143), + }, + [74] = { + [ts_builtin_sym_end] = ACTIONS(159), + [anon_sym_SEMI] = ACTIONS(159), + [aux_sym_begin_statement_token1] = ACTIONS(165), + [aux_sym_commit_statement_token1] = ACTIONS(165), + [aux_sym_rollback_statement_token1] = ACTIONS(165), + [aux_sym_with_clause_token1] = ACTIONS(318), + [anon_sym_COMMA] = ACTIONS(159), + [aux_sym_cte_token1] = ACTIONS(165), + [aux_sym_cte_token2] = ACTIONS(165), + [anon_sym_LPAREN] = ACTIONS(320), + [aux_sym_insert_statement_token1] = ACTIONS(165), + [aux_sym_create_statement_token1] = ACTIONS(165), + [aux_sym_alter_statement_token1] = ACTIONS(165), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(165), + [aux_sym_pg_command_token1] = ACTIONS(159), + [aux_sym_create_function_parameter_token1] = ACTIONS(165), + [anon_sym_EQ] = ACTIONS(159), + [aux_sym_drop_statement_token1] = ACTIONS(165), + [aux_sym_grant_statement_token1] = ACTIONS(165), + [aux_sym_grant_statement_token4] = ACTIONS(165), + [aux_sym_grant_statement_token5] = ACTIONS(165), + [aux_sym_grant_statement_token6] = ACTIONS(165), + [aux_sym_grant_statement_token12] = ACTIONS(165), + [aux_sym_order_by_clause_token1] = ACTIONS(165), + [aux_sym_limit_clause_token1] = ACTIONS(165), + [aux_sym_where_clause_token1] = ACTIONS(165), + [aux_sym_join_type_token1] = ACTIONS(165), + [aux_sym_join_type_token2] = ACTIONS(165), + [aux_sym_join_type_token3] = ACTIONS(165), + [aux_sym_join_type_token4] = ACTIONS(165), + [aux_sym_join_clause_token1] = ACTIONS(165), + [aux_sym_is_expression_token1] = ACTIONS(165), + [aux_sym_boolean_expression_token1] = ACTIONS(165), + [aux_sym_boolean_expression_token2] = ACTIONS(165), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(165), + [sym__unquoted_identifier] = ACTIONS(165), + [anon_sym_BQUOTE] = ACTIONS(159), + [anon_sym_DQUOTE] = ACTIONS(159), + [anon_sym_DASH_GT] = ACTIONS(165), + [anon_sym_DASH_GT_GT] = ACTIONS(159), + [anon_sym_POUND_GT] = ACTIONS(165), + [anon_sym_POUND_GT_GT] = ACTIONS(159), + [aux_sym_type_token3] = ACTIONS(322), + [anon_sym_LBRACK] = ACTIONS(159), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(159), + [anon_sym_DASH] = ACTIONS(165), + [anon_sym_TILDE] = ACTIONS(165), + [anon_sym_CARET] = ACTIONS(159), + [anon_sym_STAR] = ACTIONS(159), + [anon_sym_SLASH] = ACTIONS(165), + [anon_sym_PERCENT] = ACTIONS(159), + [anon_sym_LT_LT] = ACTIONS(159), + [anon_sym_GT_GT] = ACTIONS(159), + [anon_sym_AMP] = ACTIONS(159), + [anon_sym_PIPE] = ACTIONS(159), + [anon_sym_POUND] = ACTIONS(165), + [anon_sym_LT] = ACTIONS(165), + [anon_sym_LT_EQ] = ACTIONS(159), + [anon_sym_LT_GT] = ACTIONS(159), + [anon_sym_GT] = ACTIONS(165), + [anon_sym_GT_EQ] = ACTIONS(159), + [anon_sym_BANG_TILDE] = ACTIONS(165), + [anon_sym_TILDE_STAR] = ACTIONS(159), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(159), + }, + [75] = { + [ts_builtin_sym_end] = ACTIONS(209), + [anon_sym_SEMI] = ACTIONS(209), + [aux_sym_begin_statement_token1] = ACTIONS(213), + [aux_sym_commit_statement_token1] = ACTIONS(213), + [aux_sym_rollback_statement_token1] = ACTIONS(213), + [aux_sym_with_clause_token1] = ACTIONS(324), + [anon_sym_COMMA] = ACTIONS(209), + [aux_sym_cte_token1] = ACTIONS(213), + [aux_sym_cte_token2] = ACTIONS(213), + [aux_sym_insert_statement_token1] = ACTIONS(213), + [aux_sym_create_statement_token1] = ACTIONS(213), + [aux_sym_alter_statement_token1] = ACTIONS(213), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(213), + [aux_sym_pg_command_token1] = ACTIONS(209), + [aux_sym_create_function_parameter_token1] = ACTIONS(213), + [anon_sym_EQ] = ACTIONS(209), + [aux_sym_drop_statement_token1] = ACTIONS(213), + [aux_sym_grant_statement_token1] = ACTIONS(213), + [aux_sym_grant_statement_token4] = ACTIONS(213), + [aux_sym_grant_statement_token5] = ACTIONS(213), + [aux_sym_grant_statement_token6] = ACTIONS(213), + [aux_sym_grant_statement_token12] = ACTIONS(213), + [aux_sym_order_by_clause_token1] = ACTIONS(213), + [aux_sym_limit_clause_token1] = ACTIONS(213), + [aux_sym_where_clause_token1] = ACTIONS(213), + [aux_sym_from_clause_token1] = ACTIONS(213), + [aux_sym_join_type_token1] = ACTIONS(213), + [aux_sym_join_type_token2] = ACTIONS(213), + [aux_sym_join_type_token3] = ACTIONS(213), + [aux_sym_join_type_token4] = ACTIONS(213), + [aux_sym_join_clause_token1] = ACTIONS(213), + [aux_sym_is_expression_token1] = ACTIONS(213), + [aux_sym_boolean_expression_token1] = ACTIONS(213), + [aux_sym_boolean_expression_token2] = ACTIONS(213), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(213), + [sym__unquoted_identifier] = ACTIONS(213), + [anon_sym_BQUOTE] = ACTIONS(209), + [anon_sym_DQUOTE] = ACTIONS(209), + [anon_sym_DASH_GT] = ACTIONS(213), + [anon_sym_DASH_GT_GT] = ACTIONS(209), + [anon_sym_POUND_GT] = ACTIONS(213), + [anon_sym_POUND_GT_GT] = ACTIONS(209), + [aux_sym_type_token3] = ACTIONS(326), + [anon_sym_LBRACK] = ACTIONS(209), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(209), + [anon_sym_DASH] = ACTIONS(213), + [anon_sym_TILDE] = ACTIONS(213), + [anon_sym_CARET] = ACTIONS(209), + [anon_sym_STAR] = ACTIONS(209), + [anon_sym_SLASH] = ACTIONS(213), + [anon_sym_PERCENT] = ACTIONS(209), + [anon_sym_LT_LT] = ACTIONS(209), + [anon_sym_GT_GT] = ACTIONS(209), + [anon_sym_AMP] = ACTIONS(209), + [anon_sym_PIPE] = ACTIONS(209), + [anon_sym_POUND] = ACTIONS(213), + [anon_sym_LT] = ACTIONS(213), + [anon_sym_LT_EQ] = ACTIONS(209), + [anon_sym_LT_GT] = ACTIONS(209), + [anon_sym_GT] = ACTIONS(213), + [anon_sym_GT_EQ] = ACTIONS(209), + [anon_sym_BANG_TILDE] = ACTIONS(213), + [anon_sym_TILDE_STAR] = ACTIONS(209), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(209), + }, + [76] = { + [aux_sym_array_type_repeat1] = STATE(81), + [ts_builtin_sym_end] = ACTIONS(328), + [anon_sym_SEMI] = ACTIONS(328), + [aux_sym_begin_statement_token1] = ACTIONS(330), + [aux_sym_commit_statement_token1] = ACTIONS(330), + [aux_sym_rollback_statement_token1] = ACTIONS(330), + [aux_sym_with_clause_token1] = ACTIONS(330), + [anon_sym_COMMA] = ACTIONS(328), + [aux_sym_cte_token1] = ACTIONS(330), + [aux_sym_cte_token2] = ACTIONS(330), + [aux_sym_insert_statement_token1] = ACTIONS(330), + [aux_sym_create_statement_token1] = ACTIONS(330), + [aux_sym_alter_statement_token1] = ACTIONS(330), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(330), + [aux_sym_pg_command_token1] = ACTIONS(328), + [aux_sym_create_function_parameter_token1] = ACTIONS(330), + [anon_sym_EQ] = ACTIONS(328), + [aux_sym_drop_statement_token1] = ACTIONS(330), + [aux_sym_grant_statement_token1] = ACTIONS(330), + [aux_sym_grant_statement_token4] = ACTIONS(330), + [aux_sym_grant_statement_token5] = ACTIONS(330), + [aux_sym_grant_statement_token6] = ACTIONS(330), + [aux_sym_grant_statement_token12] = ACTIONS(330), + [aux_sym_order_by_clause_token1] = ACTIONS(330), + [aux_sym_limit_clause_token1] = ACTIONS(330), + [aux_sym_where_clause_token1] = ACTIONS(330), + [aux_sym_from_clause_token1] = ACTIONS(330), + [aux_sym_join_type_token1] = ACTIONS(330), + [aux_sym_join_type_token2] = ACTIONS(330), + [aux_sym_join_type_token3] = ACTIONS(330), + [aux_sym_join_type_token4] = ACTIONS(330), + [aux_sym_join_clause_token1] = ACTIONS(330), + [aux_sym_is_expression_token1] = ACTIONS(330), + [aux_sym_boolean_expression_token1] = ACTIONS(330), + [aux_sym_boolean_expression_token2] = ACTIONS(330), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(330), + [sym__unquoted_identifier] = ACTIONS(330), + [anon_sym_BQUOTE] = ACTIONS(328), + [anon_sym_DQUOTE] = ACTIONS(328), + [anon_sym_DASH_GT] = ACTIONS(330), + [anon_sym_DASH_GT_GT] = ACTIONS(328), + [anon_sym_POUND_GT] = ACTIONS(330), + [anon_sym_POUND_GT_GT] = ACTIONS(328), + [anon_sym_LBRACK] = ACTIONS(332), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(328), + [anon_sym_DASH] = ACTIONS(330), + [anon_sym_TILDE] = ACTIONS(330), + [anon_sym_CARET] = ACTIONS(328), + [anon_sym_STAR] = ACTIONS(328), + [anon_sym_SLASH] = ACTIONS(330), + [anon_sym_PERCENT] = ACTIONS(328), + [anon_sym_LT_LT] = ACTIONS(328), + [anon_sym_GT_GT] = ACTIONS(328), + [anon_sym_AMP] = ACTIONS(328), + [anon_sym_PIPE] = ACTIONS(328), + [anon_sym_POUND] = ACTIONS(330), + [anon_sym_LT] = ACTIONS(330), + [anon_sym_LT_EQ] = ACTIONS(328), + [anon_sym_LT_GT] = ACTIONS(328), + [anon_sym_GT] = ACTIONS(330), + [anon_sym_GT_EQ] = ACTIONS(328), + [anon_sym_BANG_TILDE] = ACTIONS(330), + [anon_sym_TILDE_STAR] = ACTIONS(328), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(328), + }, + [77] = { + [ts_builtin_sym_end] = ACTIONS(191), + [anon_sym_SEMI] = ACTIONS(191), + [aux_sym_begin_statement_token1] = ACTIONS(195), + [aux_sym_commit_statement_token1] = ACTIONS(195), + [aux_sym_rollback_statement_token1] = ACTIONS(195), + [aux_sym_with_clause_token1] = ACTIONS(334), + [anon_sym_COMMA] = ACTIONS(191), + [aux_sym_cte_token1] = ACTIONS(195), + [aux_sym_cte_token2] = ACTIONS(195), + [aux_sym_insert_statement_token1] = ACTIONS(195), + [aux_sym_create_statement_token1] = ACTIONS(195), + [aux_sym_alter_statement_token1] = ACTIONS(195), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(195), + [aux_sym_pg_command_token1] = ACTIONS(191), + [aux_sym_create_function_parameter_token1] = ACTIONS(195), + [anon_sym_EQ] = ACTIONS(191), + [aux_sym_drop_statement_token1] = ACTIONS(195), + [aux_sym_grant_statement_token1] = ACTIONS(195), + [aux_sym_grant_statement_token4] = ACTIONS(195), + [aux_sym_grant_statement_token5] = ACTIONS(195), + [aux_sym_grant_statement_token6] = ACTIONS(195), + [aux_sym_grant_statement_token12] = ACTIONS(195), + [aux_sym_order_by_clause_token1] = ACTIONS(195), + [aux_sym_limit_clause_token1] = ACTIONS(195), + [aux_sym_where_clause_token1] = ACTIONS(195), + [aux_sym_from_clause_token1] = ACTIONS(195), + [aux_sym_join_type_token1] = ACTIONS(195), + [aux_sym_join_type_token2] = ACTIONS(195), + [aux_sym_join_type_token3] = ACTIONS(195), + [aux_sym_join_type_token4] = ACTIONS(195), + [aux_sym_join_clause_token1] = ACTIONS(195), + [aux_sym_is_expression_token1] = ACTIONS(195), + [aux_sym_boolean_expression_token1] = ACTIONS(195), + [aux_sym_boolean_expression_token2] = ACTIONS(195), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(195), + [sym__unquoted_identifier] = ACTIONS(195), + [anon_sym_BQUOTE] = ACTIONS(191), + [anon_sym_DQUOTE] = ACTIONS(191), + [anon_sym_DASH_GT] = ACTIONS(195), + [anon_sym_DASH_GT_GT] = ACTIONS(191), + [anon_sym_POUND_GT] = ACTIONS(195), + [anon_sym_POUND_GT_GT] = ACTIONS(191), + [aux_sym_type_token3] = ACTIONS(336), + [anon_sym_LBRACK] = ACTIONS(191), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(191), + [anon_sym_DASH] = ACTIONS(195), + [anon_sym_TILDE] = ACTIONS(195), + [anon_sym_CARET] = ACTIONS(191), + [anon_sym_STAR] = ACTIONS(191), + [anon_sym_SLASH] = ACTIONS(195), + [anon_sym_PERCENT] = ACTIONS(191), + [anon_sym_LT_LT] = ACTIONS(191), + [anon_sym_GT_GT] = ACTIONS(191), + [anon_sym_AMP] = ACTIONS(191), + [anon_sym_PIPE] = ACTIONS(191), + [anon_sym_POUND] = ACTIONS(195), + [anon_sym_LT] = ACTIONS(195), + [anon_sym_LT_EQ] = ACTIONS(191), + [anon_sym_LT_GT] = ACTIONS(191), + [anon_sym_GT] = ACTIONS(195), + [anon_sym_GT_EQ] = ACTIONS(191), + [anon_sym_BANG_TILDE] = ACTIONS(195), + [anon_sym_TILDE_STAR] = ACTIONS(191), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(191), + }, + [78] = { + [ts_builtin_sym_end] = ACTIONS(338), + [anon_sym_SEMI] = ACTIONS(338), + [aux_sym_begin_statement_token1] = ACTIONS(340), + [aux_sym_commit_statement_token1] = ACTIONS(340), + [aux_sym_rollback_statement_token1] = ACTIONS(340), + [aux_sym_with_clause_token1] = ACTIONS(340), + [anon_sym_COMMA] = ACTIONS(338), + [aux_sym_cte_token1] = ACTIONS(340), + [aux_sym_cte_token2] = ACTIONS(340), + [aux_sym_insert_statement_token1] = ACTIONS(340), + [aux_sym_create_statement_token1] = ACTIONS(340), + [aux_sym_alter_statement_token1] = ACTIONS(340), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(340), + [aux_sym_pg_command_token1] = ACTIONS(338), + [aux_sym_create_function_parameter_token1] = ACTIONS(340), + [anon_sym_EQ] = ACTIONS(338), + [aux_sym_drop_statement_token1] = ACTIONS(340), + [aux_sym_grant_statement_token1] = ACTIONS(340), + [aux_sym_grant_statement_token4] = ACTIONS(340), + [aux_sym_grant_statement_token5] = ACTIONS(340), + [aux_sym_grant_statement_token6] = ACTIONS(340), + [aux_sym_grant_statement_token12] = ACTIONS(340), + [aux_sym_order_by_clause_token1] = ACTIONS(340), + [aux_sym_limit_clause_token1] = ACTIONS(340), + [aux_sym_where_clause_token1] = ACTIONS(340), + [aux_sym_from_clause_token1] = ACTIONS(340), + [aux_sym_join_type_token1] = ACTIONS(340), + [aux_sym_join_type_token2] = ACTIONS(340), + [aux_sym_join_type_token3] = ACTIONS(340), + [aux_sym_join_type_token4] = ACTIONS(340), + [aux_sym_join_clause_token1] = ACTIONS(340), + [aux_sym_is_expression_token1] = ACTIONS(340), + [aux_sym_boolean_expression_token1] = ACTIONS(340), + [aux_sym_boolean_expression_token2] = ACTIONS(340), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(340), + [sym__unquoted_identifier] = ACTIONS(340), + [anon_sym_BQUOTE] = ACTIONS(338), + [anon_sym_DQUOTE] = ACTIONS(338), + [anon_sym_DASH_GT] = ACTIONS(340), + [anon_sym_DASH_GT_GT] = ACTIONS(338), + [anon_sym_POUND_GT] = ACTIONS(340), + [anon_sym_POUND_GT_GT] = ACTIONS(338), + [anon_sym_COLON_COLON] = ACTIONS(342), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(338), + [anon_sym_DASH] = ACTIONS(340), + [anon_sym_TILDE] = ACTIONS(340), + [anon_sym_CARET] = ACTIONS(338), + [anon_sym_STAR] = ACTIONS(338), + [anon_sym_SLASH] = ACTIONS(340), + [anon_sym_PERCENT] = ACTIONS(338), + [anon_sym_LT_LT] = ACTIONS(338), + [anon_sym_GT_GT] = ACTIONS(338), + [anon_sym_AMP] = ACTIONS(338), + [anon_sym_PIPE] = ACTIONS(338), + [anon_sym_POUND] = ACTIONS(340), + [anon_sym_LT] = ACTIONS(340), + [anon_sym_LT_EQ] = ACTIONS(338), + [anon_sym_LT_GT] = ACTIONS(338), + [anon_sym_GT] = ACTIONS(340), + [anon_sym_GT_EQ] = ACTIONS(338), + [anon_sym_BANG_TILDE] = ACTIONS(340), + [anon_sym_TILDE_STAR] = ACTIONS(338), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(338), + [anon_sym_DOT_STAR] = ACTIONS(344), + }, + [79] = { + [aux_sym_array_type_repeat1] = STATE(84), + [ts_builtin_sym_end] = ACTIONS(75), + [anon_sym_SEMI] = ACTIONS(75), + [aux_sym_begin_statement_token1] = ACTIONS(75), + [aux_sym_commit_statement_token1] = ACTIONS(75), + [aux_sym_rollback_statement_token1] = ACTIONS(75), + [aux_sym_with_clause_token1] = ACTIONS(75), + [anon_sym_COMMA] = ACTIONS(75), + [aux_sym_cte_token2] = ACTIONS(75), + [anon_sym_RPAREN] = ACTIONS(75), + [aux_sym_insert_statement_token1] = ACTIONS(75), + [aux_sym_create_statement_token1] = ACTIONS(75), + [aux_sym_alter_statement_token1] = ACTIONS(75), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(75), + [aux_sym_alter_table_action_alter_column_token3] = ACTIONS(75), + [aux_sym_pg_command_token1] = ACTIONS(75), + [aux_sym_null_hint_token3] = ACTIONS(75), + [aux_sym_create_function_parameter_token1] = ACTIONS(77), + [anon_sym_EQ] = ACTIONS(75), + [aux_sym_drop_statement_token1] = ACTIONS(75), + [aux_sym_grant_statement_token1] = ACTIONS(75), + [aux_sym_grant_statement_token4] = ACTIONS(75), + [aux_sym_grant_statement_token5] = ACTIONS(75), + [aux_sym_grant_statement_token6] = ACTIONS(75), + [aux_sym_grant_statement_token8] = ACTIONS(75), + [aux_sym_grant_statement_token12] = ACTIONS(75), + [aux_sym_auto_increment_constraint_token1] = ACTIONS(75), + [aux_sym_direction_constraint_token1] = ACTIONS(75), + [aux_sym_direction_constraint_token2] = ACTIONS(75), + [anon_sym_CONSTRAINT] = ACTIONS(75), + [aux_sym_table_constraint_check_token1] = ACTIONS(75), + [aux_sym_table_constraint_unique_token1] = ACTIONS(75), + [aux_sym_table_constraint_primary_key_token1] = ACTIONS(75), + [aux_sym_order_by_clause_token1] = ACTIONS(75), + [aux_sym_limit_clause_token1] = ACTIONS(75), + [aux_sym_is_expression_token1] = ACTIONS(75), + [aux_sym_boolean_expression_token1] = ACTIONS(75), + [aux_sym_boolean_expression_token2] = ACTIONS(77), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(75), + [anon_sym_DASH_GT] = ACTIONS(77), + [anon_sym_DASH_GT_GT] = ACTIONS(75), + [anon_sym_POUND_GT] = ACTIONS(77), + [anon_sym_POUND_GT_GT] = ACTIONS(75), + [anon_sym_LBRACK] = ACTIONS(346), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(75), + [anon_sym_DASH] = ACTIONS(77), + [anon_sym_TILDE] = ACTIONS(77), + [anon_sym_CARET] = ACTIONS(75), + [anon_sym_STAR] = ACTIONS(75), + [anon_sym_SLASH] = ACTIONS(77), + [anon_sym_PERCENT] = ACTIONS(75), + [anon_sym_LT_LT] = ACTIONS(75), + [anon_sym_GT_GT] = ACTIONS(75), + [anon_sym_AMP] = ACTIONS(75), + [anon_sym_PIPE] = ACTIONS(75), + [anon_sym_POUND] = ACTIONS(77), + [anon_sym_LT] = ACTIONS(77), + [anon_sym_LT_EQ] = ACTIONS(75), + [anon_sym_LT_GT] = ACTIONS(75), + [anon_sym_GT] = ACTIONS(77), + [anon_sym_GT_EQ] = ACTIONS(75), + [anon_sym_BANG_TILDE] = ACTIONS(77), + [anon_sym_TILDE_STAR] = ACTIONS(75), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(75), + }, + [80] = { + [sym__quoted_identifier] = STATE(113), + [sym_identifier] = STATE(1669), + [ts_builtin_sym_end] = ACTIONS(348), + [anon_sym_SEMI] = ACTIONS(348), + [aux_sym_begin_statement_token1] = ACTIONS(350), + [aux_sym_commit_statement_token1] = ACTIONS(350), + [aux_sym_rollback_statement_token1] = ACTIONS(350), + [aux_sym_with_clause_token1] = ACTIONS(350), + [anon_sym_COMMA] = ACTIONS(348), + [aux_sym_cte_token1] = ACTIONS(352), + [aux_sym_cte_token2] = ACTIONS(354), + [aux_sym_insert_statement_token1] = ACTIONS(350), + [aux_sym_create_statement_token1] = ACTIONS(350), + [aux_sym_alter_statement_token1] = ACTIONS(350), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(350), + [aux_sym_pg_command_token1] = ACTIONS(348), + [aux_sym_create_function_parameter_token1] = ACTIONS(356), + [anon_sym_EQ] = ACTIONS(358), + [aux_sym_drop_statement_token1] = ACTIONS(350), + [aux_sym_grant_statement_token1] = ACTIONS(350), + [aux_sym_grant_statement_token4] = ACTIONS(350), + [aux_sym_grant_statement_token5] = ACTIONS(350), + [aux_sym_grant_statement_token6] = ACTIONS(350), + [aux_sym_grant_statement_token12] = ACTIONS(350), + [aux_sym_order_by_clause_token1] = ACTIONS(350), + [aux_sym_limit_clause_token1] = ACTIONS(350), + [aux_sym_where_clause_token1] = ACTIONS(350), + [aux_sym_from_clause_token1] = ACTIONS(350), + [aux_sym_join_type_token1] = ACTIONS(350), + [aux_sym_join_type_token2] = ACTIONS(350), + [aux_sym_join_type_token3] = ACTIONS(350), + [aux_sym_join_type_token4] = ACTIONS(350), + [aux_sym_join_clause_token1] = ACTIONS(350), + [aux_sym_is_expression_token1] = ACTIONS(360), + [aux_sym_boolean_expression_token1] = ACTIONS(362), + [aux_sym_boolean_expression_token2] = ACTIONS(364), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(366), + [sym__unquoted_identifier] = ACTIONS(368), + [anon_sym_BQUOTE] = ACTIONS(370), + [anon_sym_DQUOTE] = ACTIONS(372), + [anon_sym_DASH_GT] = ACTIONS(374), + [anon_sym_DASH_GT_GT] = ACTIONS(376), + [anon_sym_POUND_GT] = ACTIONS(374), + [anon_sym_POUND_GT_GT] = ACTIONS(376), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(378), + [anon_sym_DASH] = ACTIONS(380), + [anon_sym_TILDE] = ACTIONS(382), + [anon_sym_CARET] = ACTIONS(384), + [anon_sym_STAR] = ACTIONS(386), + [anon_sym_SLASH] = ACTIONS(388), + [anon_sym_PERCENT] = ACTIONS(386), + [anon_sym_LT_LT] = ACTIONS(386), + [anon_sym_GT_GT] = ACTIONS(386), + [anon_sym_AMP] = ACTIONS(386), + [anon_sym_PIPE] = ACTIONS(378), + [anon_sym_POUND] = ACTIONS(380), + [anon_sym_LT] = ACTIONS(382), + [anon_sym_LT_EQ] = ACTIONS(358), + [anon_sym_LT_GT] = ACTIONS(358), + [anon_sym_GT] = ACTIONS(382), + [anon_sym_GT_EQ] = ACTIONS(358), + [anon_sym_BANG_TILDE] = ACTIONS(382), + [anon_sym_TILDE_STAR] = ACTIONS(358), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(358), + }, + [81] = { + [aux_sym_array_type_repeat1] = STATE(86), + [ts_builtin_sym_end] = ACTIONS(75), + [anon_sym_SEMI] = ACTIONS(75), + [aux_sym_begin_statement_token1] = ACTIONS(77), + [aux_sym_commit_statement_token1] = ACTIONS(77), + [aux_sym_rollback_statement_token1] = ACTIONS(77), + [aux_sym_with_clause_token1] = ACTIONS(77), + [anon_sym_COMMA] = ACTIONS(75), + [aux_sym_cte_token1] = ACTIONS(77), + [aux_sym_cte_token2] = ACTIONS(77), + [aux_sym_insert_statement_token1] = ACTIONS(77), + [aux_sym_create_statement_token1] = ACTIONS(77), + [aux_sym_alter_statement_token1] = ACTIONS(77), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(77), + [aux_sym_pg_command_token1] = ACTIONS(75), + [aux_sym_create_function_parameter_token1] = ACTIONS(77), + [anon_sym_EQ] = ACTIONS(75), + [aux_sym_drop_statement_token1] = ACTIONS(77), + [aux_sym_grant_statement_token1] = ACTIONS(77), + [aux_sym_grant_statement_token4] = ACTIONS(77), + [aux_sym_grant_statement_token5] = ACTIONS(77), + [aux_sym_grant_statement_token6] = ACTIONS(77), + [aux_sym_grant_statement_token12] = ACTIONS(77), + [aux_sym_order_by_clause_token1] = ACTIONS(77), + [aux_sym_limit_clause_token1] = ACTIONS(77), + [aux_sym_where_clause_token1] = ACTIONS(77), + [aux_sym_from_clause_token1] = ACTIONS(77), + [aux_sym_join_type_token1] = ACTIONS(77), + [aux_sym_join_type_token2] = ACTIONS(77), + [aux_sym_join_type_token3] = ACTIONS(77), + [aux_sym_join_type_token4] = ACTIONS(77), + [aux_sym_join_clause_token1] = ACTIONS(77), + [aux_sym_is_expression_token1] = ACTIONS(77), + [aux_sym_boolean_expression_token1] = ACTIONS(77), + [aux_sym_boolean_expression_token2] = ACTIONS(77), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(77), + [sym__unquoted_identifier] = ACTIONS(77), + [anon_sym_BQUOTE] = ACTIONS(75), + [anon_sym_DQUOTE] = ACTIONS(75), + [anon_sym_DASH_GT] = ACTIONS(77), + [anon_sym_DASH_GT_GT] = ACTIONS(75), + [anon_sym_POUND_GT] = ACTIONS(77), + [anon_sym_POUND_GT_GT] = ACTIONS(75), + [anon_sym_LBRACK] = ACTIONS(332), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(75), + [anon_sym_DASH] = ACTIONS(77), + [anon_sym_TILDE] = ACTIONS(77), + [anon_sym_CARET] = ACTIONS(75), + [anon_sym_STAR] = ACTIONS(75), + [anon_sym_SLASH] = ACTIONS(77), + [anon_sym_PERCENT] = ACTIONS(75), + [anon_sym_LT_LT] = ACTIONS(75), + [anon_sym_GT_GT] = ACTIONS(75), + [anon_sym_AMP] = ACTIONS(75), + [anon_sym_PIPE] = ACTIONS(75), + [anon_sym_POUND] = ACTIONS(77), + [anon_sym_LT] = ACTIONS(77), + [anon_sym_LT_EQ] = ACTIONS(75), + [anon_sym_LT_GT] = ACTIONS(75), + [anon_sym_GT] = ACTIONS(77), + [anon_sym_GT_EQ] = ACTIONS(75), + [anon_sym_BANG_TILDE] = ACTIONS(77), + [anon_sym_TILDE_STAR] = ACTIONS(75), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(75), + }, + [82] = { + [ts_builtin_sym_end] = ACTIONS(143), + [anon_sym_SEMI] = ACTIONS(143), + [aux_sym_begin_statement_token1] = ACTIONS(149), + [aux_sym_commit_statement_token1] = ACTIONS(149), + [aux_sym_rollback_statement_token1] = ACTIONS(149), + [aux_sym_with_clause_token1] = ACTIONS(262), + [anon_sym_COMMA] = ACTIONS(143), + [aux_sym_cte_token1] = ACTIONS(149), + [aux_sym_cte_token2] = ACTIONS(149), + [anon_sym_LPAREN] = ACTIONS(264), + [aux_sym_insert_statement_token1] = ACTIONS(149), + [aux_sym_create_statement_token1] = ACTIONS(149), + [aux_sym_alter_statement_token1] = ACTIONS(149), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(149), + [aux_sym_pg_command_token1] = ACTIONS(143), + [aux_sym_create_function_parameter_token1] = ACTIONS(149), + [anon_sym_EQ] = ACTIONS(143), + [aux_sym_drop_statement_token1] = ACTIONS(149), + [aux_sym_grant_statement_token1] = ACTIONS(149), + [aux_sym_grant_statement_token4] = ACTIONS(149), + [aux_sym_grant_statement_token5] = ACTIONS(149), + [aux_sym_grant_statement_token6] = ACTIONS(149), + [aux_sym_grant_statement_token12] = ACTIONS(149), + [aux_sym_order_by_clause_token1] = ACTIONS(149), + [aux_sym_limit_clause_token1] = ACTIONS(149), + [aux_sym_where_clause_token1] = ACTIONS(149), + [aux_sym_join_type_token1] = ACTIONS(149), + [aux_sym_join_type_token2] = ACTIONS(149), + [aux_sym_join_type_token3] = ACTIONS(149), + [aux_sym_join_type_token4] = ACTIONS(149), + [aux_sym_join_clause_token1] = ACTIONS(149), + [aux_sym_is_expression_token1] = ACTIONS(149), + [aux_sym_boolean_expression_token1] = ACTIONS(149), + [aux_sym_boolean_expression_token2] = ACTIONS(149), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(149), + [sym__unquoted_identifier] = ACTIONS(149), + [anon_sym_BQUOTE] = ACTIONS(143), + [anon_sym_DQUOTE] = ACTIONS(143), + [anon_sym_DASH_GT] = ACTIONS(149), + [anon_sym_DASH_GT_GT] = ACTIONS(143), + [anon_sym_POUND_GT] = ACTIONS(149), + [anon_sym_POUND_GT_GT] = ACTIONS(143), + [aux_sym_type_token3] = ACTIONS(268), + [anon_sym_LBRACK] = ACTIONS(143), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(143), + [anon_sym_DASH] = ACTIONS(149), + [anon_sym_TILDE] = ACTIONS(149), + [anon_sym_CARET] = ACTIONS(143), + [anon_sym_STAR] = ACTIONS(143), + [anon_sym_SLASH] = ACTIONS(149), + [anon_sym_PERCENT] = ACTIONS(143), + [anon_sym_LT_LT] = ACTIONS(143), + [anon_sym_GT_GT] = ACTIONS(143), + [anon_sym_AMP] = ACTIONS(143), + [anon_sym_PIPE] = ACTIONS(143), + [anon_sym_POUND] = ACTIONS(149), + [anon_sym_LT] = ACTIONS(149), + [anon_sym_LT_EQ] = ACTIONS(143), + [anon_sym_LT_GT] = ACTIONS(143), + [anon_sym_GT] = ACTIONS(149), + [anon_sym_GT_EQ] = ACTIONS(143), + [anon_sym_BANG_TILDE] = ACTIONS(149), + [anon_sym_TILDE_STAR] = ACTIONS(143), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(143), + }, + [83] = { + [ts_builtin_sym_end] = ACTIONS(159), + [anon_sym_SEMI] = ACTIONS(159), + [aux_sym_begin_statement_token1] = ACTIONS(159), + [aux_sym_commit_statement_token1] = ACTIONS(159), + [aux_sym_rollback_statement_token1] = ACTIONS(159), + [aux_sym_with_clause_token1] = ACTIONS(390), + [anon_sym_COMMA] = ACTIONS(159), + [aux_sym_cte_token2] = ACTIONS(159), + [anon_sym_LPAREN] = ACTIONS(392), + [aux_sym_insert_statement_token1] = ACTIONS(159), + [aux_sym_create_statement_token1] = ACTIONS(159), + [aux_sym_alter_statement_token1] = ACTIONS(159), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(159), + [aux_sym_alter_table_action_alter_column_token3] = ACTIONS(159), + [aux_sym_pg_command_token1] = ACTIONS(159), + [aux_sym_null_hint_token3] = ACTIONS(159), + [aux_sym_create_function_parameter_token1] = ACTIONS(165), + [anon_sym_EQ] = ACTIONS(159), + [aux_sym_drop_statement_token1] = ACTIONS(159), + [aux_sym_grant_statement_token1] = ACTIONS(159), + [aux_sym_grant_statement_token4] = ACTIONS(159), + [aux_sym_grant_statement_token5] = ACTIONS(159), + [aux_sym_grant_statement_token6] = ACTIONS(159), + [aux_sym_grant_statement_token8] = ACTIONS(159), + [aux_sym_auto_increment_constraint_token1] = ACTIONS(159), + [aux_sym_direction_constraint_token1] = ACTIONS(159), + [aux_sym_direction_constraint_token2] = ACTIONS(159), + [anon_sym_CONSTRAINT] = ACTIONS(159), + [aux_sym_table_constraint_check_token1] = ACTIONS(159), + [aux_sym_table_constraint_unique_token1] = ACTIONS(159), + [aux_sym_table_constraint_primary_key_token1] = ACTIONS(159), + [aux_sym_limit_clause_token1] = ACTIONS(159), + [aux_sym_where_clause_token1] = ACTIONS(159), + [aux_sym_from_clause_token1] = ACTIONS(159), + [aux_sym_is_expression_token1] = ACTIONS(159), + [aux_sym_boolean_expression_token1] = ACTIONS(159), + [aux_sym_boolean_expression_token2] = ACTIONS(159), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(159), + [anon_sym_DASH_GT] = ACTIONS(165), + [anon_sym_DASH_GT_GT] = ACTIONS(159), + [anon_sym_POUND_GT] = ACTIONS(165), + [anon_sym_POUND_GT_GT] = ACTIONS(159), + [aux_sym_type_token3] = ACTIONS(394), + [anon_sym_LBRACK] = ACTIONS(159), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(159), + [anon_sym_DASH] = ACTIONS(165), + [anon_sym_TILDE] = ACTIONS(165), + [anon_sym_CARET] = ACTIONS(159), + [anon_sym_STAR] = ACTIONS(159), + [anon_sym_SLASH] = ACTIONS(165), + [anon_sym_PERCENT] = ACTIONS(159), + [anon_sym_LT_LT] = ACTIONS(159), + [anon_sym_GT_GT] = ACTIONS(159), + [anon_sym_AMP] = ACTIONS(159), + [anon_sym_PIPE] = ACTIONS(159), + [anon_sym_POUND] = ACTIONS(165), + [anon_sym_LT] = ACTIONS(165), + [anon_sym_LT_EQ] = ACTIONS(159), + [anon_sym_LT_GT] = ACTIONS(159), + [anon_sym_GT] = ACTIONS(165), + [anon_sym_GT_EQ] = ACTIONS(159), + [anon_sym_BANG_TILDE] = ACTIONS(165), + [anon_sym_TILDE_STAR] = ACTIONS(159), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(159), + }, + [84] = { + [aux_sym_array_type_repeat1] = STATE(84), + [ts_builtin_sym_end] = ACTIONS(81), + [anon_sym_SEMI] = ACTIONS(81), + [aux_sym_begin_statement_token1] = ACTIONS(81), + [aux_sym_commit_statement_token1] = ACTIONS(81), + [aux_sym_rollback_statement_token1] = ACTIONS(81), + [aux_sym_with_clause_token1] = ACTIONS(81), + [anon_sym_COMMA] = ACTIONS(81), + [aux_sym_cte_token2] = ACTIONS(81), + [anon_sym_RPAREN] = ACTIONS(81), + [aux_sym_insert_statement_token1] = ACTIONS(81), + [aux_sym_create_statement_token1] = ACTIONS(81), + [aux_sym_alter_statement_token1] = ACTIONS(81), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(81), + [aux_sym_alter_table_action_alter_column_token3] = ACTIONS(81), + [aux_sym_pg_command_token1] = ACTIONS(81), + [aux_sym_null_hint_token3] = ACTIONS(81), + [aux_sym_create_function_parameter_token1] = ACTIONS(83), + [anon_sym_EQ] = ACTIONS(81), + [aux_sym_drop_statement_token1] = ACTIONS(81), + [aux_sym_grant_statement_token1] = ACTIONS(81), + [aux_sym_grant_statement_token4] = ACTIONS(81), + [aux_sym_grant_statement_token5] = ACTIONS(81), + [aux_sym_grant_statement_token6] = ACTIONS(81), + [aux_sym_grant_statement_token8] = ACTIONS(81), + [aux_sym_grant_statement_token12] = ACTIONS(81), + [aux_sym_auto_increment_constraint_token1] = ACTIONS(81), + [aux_sym_direction_constraint_token1] = ACTIONS(81), + [aux_sym_direction_constraint_token2] = ACTIONS(81), + [anon_sym_CONSTRAINT] = ACTIONS(81), + [aux_sym_table_constraint_check_token1] = ACTIONS(81), + [aux_sym_table_constraint_unique_token1] = ACTIONS(81), + [aux_sym_table_constraint_primary_key_token1] = ACTIONS(81), + [aux_sym_order_by_clause_token1] = ACTIONS(81), + [aux_sym_limit_clause_token1] = ACTIONS(81), + [aux_sym_is_expression_token1] = ACTIONS(81), + [aux_sym_boolean_expression_token1] = ACTIONS(81), + [aux_sym_boolean_expression_token2] = ACTIONS(83), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(81), + [anon_sym_DASH_GT] = ACTIONS(83), + [anon_sym_DASH_GT_GT] = ACTIONS(81), + [anon_sym_POUND_GT] = ACTIONS(83), + [anon_sym_POUND_GT_GT] = ACTIONS(81), + [anon_sym_LBRACK] = ACTIONS(396), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(81), + [anon_sym_DASH] = ACTIONS(83), + [anon_sym_TILDE] = ACTIONS(83), + [anon_sym_CARET] = ACTIONS(81), + [anon_sym_STAR] = ACTIONS(81), + [anon_sym_SLASH] = ACTIONS(83), + [anon_sym_PERCENT] = ACTIONS(81), + [anon_sym_LT_LT] = ACTIONS(81), + [anon_sym_GT_GT] = ACTIONS(81), + [anon_sym_AMP] = ACTIONS(81), + [anon_sym_PIPE] = ACTIONS(81), + [anon_sym_POUND] = ACTIONS(83), + [anon_sym_LT] = ACTIONS(83), + [anon_sym_LT_EQ] = ACTIONS(81), + [anon_sym_LT_GT] = ACTIONS(81), + [anon_sym_GT] = ACTIONS(83), + [anon_sym_GT_EQ] = ACTIONS(81), + [anon_sym_BANG_TILDE] = ACTIONS(83), + [anon_sym_TILDE_STAR] = ACTIONS(81), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(81), + }, + [85] = { + [ts_builtin_sym_end] = ACTIONS(238), + [anon_sym_SEMI] = ACTIONS(238), + [aux_sym_begin_statement_token1] = ACTIONS(242), + [aux_sym_commit_statement_token1] = ACTIONS(242), + [aux_sym_rollback_statement_token1] = ACTIONS(242), + [aux_sym_with_clause_token1] = ACTIONS(399), + [anon_sym_COMMA] = ACTIONS(238), + [aux_sym_cte_token1] = ACTIONS(242), + [aux_sym_cte_token2] = ACTIONS(242), + [aux_sym_insert_statement_token1] = ACTIONS(242), + [aux_sym_create_statement_token1] = ACTIONS(242), + [aux_sym_alter_statement_token1] = ACTIONS(242), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(242), + [aux_sym_pg_command_token1] = ACTIONS(238), + [aux_sym_create_function_parameter_token1] = ACTIONS(242), + [anon_sym_EQ] = ACTIONS(238), + [aux_sym_drop_statement_token1] = ACTIONS(242), + [aux_sym_grant_statement_token1] = ACTIONS(242), + [aux_sym_grant_statement_token4] = ACTIONS(242), + [aux_sym_grant_statement_token5] = ACTIONS(242), + [aux_sym_grant_statement_token6] = ACTIONS(242), + [aux_sym_grant_statement_token12] = ACTIONS(242), + [aux_sym_order_by_clause_token1] = ACTIONS(242), + [aux_sym_limit_clause_token1] = ACTIONS(242), + [aux_sym_where_clause_token1] = ACTIONS(242), + [aux_sym_from_clause_token1] = ACTIONS(242), + [aux_sym_join_type_token1] = ACTIONS(242), + [aux_sym_join_type_token2] = ACTIONS(242), + [aux_sym_join_type_token3] = ACTIONS(242), + [aux_sym_join_type_token4] = ACTIONS(242), + [aux_sym_join_clause_token1] = ACTIONS(242), + [aux_sym_is_expression_token1] = ACTIONS(242), + [aux_sym_boolean_expression_token1] = ACTIONS(242), + [aux_sym_boolean_expression_token2] = ACTIONS(242), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(242), + [sym__unquoted_identifier] = ACTIONS(242), + [anon_sym_BQUOTE] = ACTIONS(238), + [anon_sym_DQUOTE] = ACTIONS(238), + [anon_sym_DASH_GT] = ACTIONS(242), + [anon_sym_DASH_GT_GT] = ACTIONS(238), + [anon_sym_POUND_GT] = ACTIONS(242), + [anon_sym_POUND_GT_GT] = ACTIONS(238), + [aux_sym_type_token3] = ACTIONS(401), + [anon_sym_LBRACK] = ACTIONS(238), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(238), + [anon_sym_DASH] = ACTIONS(242), + [anon_sym_TILDE] = ACTIONS(242), + [anon_sym_CARET] = ACTIONS(238), + [anon_sym_STAR] = ACTIONS(238), + [anon_sym_SLASH] = ACTIONS(242), + [anon_sym_PERCENT] = ACTIONS(238), + [anon_sym_LT_LT] = ACTIONS(238), + [anon_sym_GT_GT] = ACTIONS(238), + [anon_sym_AMP] = ACTIONS(238), + [anon_sym_PIPE] = ACTIONS(238), + [anon_sym_POUND] = ACTIONS(242), + [anon_sym_LT] = ACTIONS(242), + [anon_sym_LT_EQ] = ACTIONS(238), + [anon_sym_LT_GT] = ACTIONS(238), + [anon_sym_GT] = ACTIONS(242), + [anon_sym_GT_EQ] = ACTIONS(238), + [anon_sym_BANG_TILDE] = ACTIONS(242), + [anon_sym_TILDE_STAR] = ACTIONS(238), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(238), + }, + [86] = { + [aux_sym_array_type_repeat1] = STATE(86), + [ts_builtin_sym_end] = ACTIONS(81), + [anon_sym_SEMI] = ACTIONS(81), + [aux_sym_begin_statement_token1] = ACTIONS(83), + [aux_sym_commit_statement_token1] = ACTIONS(83), + [aux_sym_rollback_statement_token1] = ACTIONS(83), + [aux_sym_with_clause_token1] = ACTIONS(83), + [anon_sym_COMMA] = ACTIONS(81), + [aux_sym_cte_token1] = ACTIONS(83), + [aux_sym_cte_token2] = ACTIONS(83), + [aux_sym_insert_statement_token1] = ACTIONS(83), + [aux_sym_create_statement_token1] = ACTIONS(83), + [aux_sym_alter_statement_token1] = ACTIONS(83), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(83), + [aux_sym_pg_command_token1] = ACTIONS(81), + [aux_sym_create_function_parameter_token1] = ACTIONS(83), + [anon_sym_EQ] = ACTIONS(81), + [aux_sym_drop_statement_token1] = ACTIONS(83), + [aux_sym_grant_statement_token1] = ACTIONS(83), + [aux_sym_grant_statement_token4] = ACTIONS(83), + [aux_sym_grant_statement_token5] = ACTIONS(83), + [aux_sym_grant_statement_token6] = ACTIONS(83), + [aux_sym_grant_statement_token12] = ACTIONS(83), + [aux_sym_order_by_clause_token1] = ACTIONS(83), + [aux_sym_limit_clause_token1] = ACTIONS(83), + [aux_sym_where_clause_token1] = ACTIONS(83), + [aux_sym_from_clause_token1] = ACTIONS(83), + [aux_sym_join_type_token1] = ACTIONS(83), + [aux_sym_join_type_token2] = ACTIONS(83), + [aux_sym_join_type_token3] = ACTIONS(83), + [aux_sym_join_type_token4] = ACTIONS(83), + [aux_sym_join_clause_token1] = ACTIONS(83), + [aux_sym_is_expression_token1] = ACTIONS(83), + [aux_sym_boolean_expression_token1] = ACTIONS(83), + [aux_sym_boolean_expression_token2] = ACTIONS(83), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(83), + [sym__unquoted_identifier] = ACTIONS(83), + [anon_sym_BQUOTE] = ACTIONS(81), + [anon_sym_DQUOTE] = ACTIONS(81), + [anon_sym_DASH_GT] = ACTIONS(83), + [anon_sym_DASH_GT_GT] = ACTIONS(81), + [anon_sym_POUND_GT] = ACTIONS(83), + [anon_sym_POUND_GT_GT] = ACTIONS(81), + [anon_sym_LBRACK] = ACTIONS(403), + [sym_comment] = ACTIONS(3), + [anon_sym_PLUS] = ACTIONS(81), + [anon_sym_DASH] = ACTIONS(83), + [anon_sym_TILDE] = ACTIONS(83), + [anon_sym_CARET] = ACTIONS(81), + [anon_sym_STAR] = ACTIONS(81), + [anon_sym_SLASH] = ACTIONS(83), + [anon_sym_PERCENT] = ACTIONS(81), + [anon_sym_LT_LT] = ACTIONS(81), + [anon_sym_GT_GT] = ACTIONS(81), + [anon_sym_AMP] = ACTIONS(81), + [anon_sym_PIPE] = ACTIONS(81), + [anon_sym_POUND] = ACTIONS(83), + [anon_sym_LT] = ACTIONS(83), + [anon_sym_LT_EQ] = ACTIONS(81), + [anon_sym_LT_GT] = ACTIONS(81), + [anon_sym_GT] = ACTIONS(83), + [anon_sym_GT_EQ] = ACTIONS(81), + [anon_sym_BANG_TILDE] = ACTIONS(83), + [anon_sym_TILDE_STAR] = ACTIONS(81), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(81), + }, + [87] = { + [ts_builtin_sym_end] = ACTIONS(406), + [anon_sym_SEMI] = ACTIONS(406), + [aux_sym_begin_statement_token1] = ACTIONS(406), + [aux_sym_commit_statement_token1] = ACTIONS(406), + [aux_sym_rollback_statement_token1] = ACTIONS(406), + [aux_sym_with_clause_token1] = ACTIONS(406), + [anon_sym_COMMA] = ACTIONS(406), + [aux_sym_cte_token1] = ACTIONS(406), + [aux_sym_cte_token2] = ACTIONS(406), + [aux_sym_insert_statement_token1] = ACTIONS(406), + [aux_sym_create_statement_token1] = ACTIONS(406), + [aux_sym_alter_statement_token1] = ACTIONS(406), + [aux_sym_alter_table_action_alter_column_token2] = ACTIONS(406), + [aux_sym_pg_command_token1] = ACTIONS(406), + [aux_sym_create_function_statement_token3] = ACTIONS(406), + [aux_sym_optimizer_hint_token1] = ACTIONS(406), + [aux_sym_optimizer_hint_token2] = ACTIONS(406), + [aux_sym_optimizer_hint_token3] = ACTIONS(406), + [aux_sym_parallel_hint_token1] = ACTIONS(406), + [aux_sym_parallel_hint_token2] = ACTIONS(406), + [aux_sym_parallel_hint_token3] = ACTIONS(406), + [aux_sym_parallel_hint_token4] = ACTIONS(406), + [aux_sym_null_hint_token1] = ACTIONS(406), + [aux_sym_null_hint_token5] = ACTIONS(406), + [aux_sym__function_language_token1] = ACTIONS(406), + [aux_sym_create_function_parameter_token1] = ACTIONS(408), + [anon_sym_EQ] = ACTIONS(406), + [aux_sym_drop_statement_token1] = ACTIONS(406), + [aux_sym_grant_statement_token1] = ACTIONS(406), + [aux_sym_grant_statement_token4] = ACTIONS(406), + [aux_sym_grant_statement_token5] = ACTIONS(406), + [aux_sym_grant_statement_token6] = ACTIONS(406), + [aux_sym_grant_statement_token12] = ACTIONS(406), + [aux_sym_order_by_clause_token1] = ACTIONS(406), + [aux_sym_limit_clause_token1] = ACTIONS(406), + [aux_sym_is_expression_token1] = ACTIONS(406), + [aux_sym_boolean_expression_token1] = ACTIONS(406), + [aux_sym_boolean_expression_token2] = ACTIONS(408), + [aux_sym_at_time_zone_expression_token1] = ACTIONS(406), + [anon_sym_DASH_GT] = ACTIONS(408), + [anon_sym_DASH_GT_GT] = ACTIONS(406), + [anon_sym_POUND_GT] = ACTIONS(408), + [anon_sym_POUND_GT_GT] = ACTIONS(406), + [anon_sym_COLON_COLON] = ACTIONS(406), [sym_comment] = ACTIONS(3), - [anon_sym_PLUS] = ACTIONS(57), - [anon_sym_DASH] = ACTIONS(59), - [anon_sym_BANG_BANG] = ACTIONS(57), - [anon_sym_TILDE] = ACTIONS(57), - [anon_sym_AT] = ACTIONS(57), - [anon_sym_PIPE_SLASH] = ACTIONS(57), - [anon_sym_PIPE_PIPE_SLASH] = ACTIONS(57), - [anon_sym_STAR] = ACTIONS(61), - [aux_sym_interval_expression_token1] = ACTIONS(63), - [anon_sym_DOLLAR] = ACTIONS(65), + [anon_sym_PLUS] = ACTIONS(406), + [anon_sym_DASH] = ACTIONS(408), + [anon_sym_TILDE] = ACTIONS(408), + [anon_sym_CARET] = ACTIONS(406), + [anon_sym_STAR] = ACTIONS(406), + [anon_sym_SLASH] = ACTIONS(408), + [anon_sym_PERCENT] = ACTIONS(406), + [anon_sym_LT_LT] = ACTIONS(406), + [anon_sym_GT_GT] = ACTIONS(406), + [anon_sym_AMP] = ACTIONS(406), + [anon_sym_PIPE] = ACTIONS(406), + [anon_sym_POUND] = ACTIONS(408), + [anon_sym_LT] = ACTIONS(408), + [anon_sym_LT_EQ] = ACTIONS(406), + [anon_sym_LT_GT] = ACTIONS(406), + [anon_sym_GT] = ACTIONS(408), + [anon_sym_GT_EQ] = ACTIONS(406), + [anon_sym_BANG_TILDE] = ACTIONS(408), + [anon_sym_TILDE_STAR] = ACTIONS(406), + [anon_sym_BANG_TILDE_STAR] = ACTIONS(406), }, }; static const uint16_t ts_small_parse_table[] = { - [0] = 3, + [0] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(69), 10, - aux_sym_sequence_token5, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DOT, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(67), 52, + ACTIONS(410), 1, + aux_sym_with_clause_token1, + ACTIONS(412), 1, + aux_sym_type_token3, + ACTIONS(238), 23, ts_builtin_sym_end, anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, + anon_sym_COMMA, aux_sym_pg_command_token1, - aux_sym_null_hint_token2, - aux_sym_null_hint_token3, anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_grant_statement_token13, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, + anon_sym_BQUOTE, + anon_sym_DQUOTE, anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_LBRACK, - anon_sym_COLON_COLON, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -13722,57 +24192,30 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [70] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(73), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(71), 54, - ts_builtin_sym_end, - anon_sym_SEMI, + ACTIONS(242), 38, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_pg_command_token1, - aux_sym_create_function_statement_token3, - aux_sym_optimizer_hint_token1, - aux_sym_optimizer_hint_token2, - aux_sym_optimizer_hint_token3, - aux_sym_parallel_hint_token1, - aux_sym_parallel_hint_token2, - aux_sym_parallel_hint_token3, - aux_sym_parallel_hint_token4, - aux_sym_null_hint_token1, - aux_sym_null_hint_token3, - aux_sym_null_hint_token5, - aux_sym__function_language_token1, - anon_sym_EQ, + aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, @@ -13781,6 +24224,31 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [75] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(284), 23, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, @@ -13790,64 +24258,109 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [140] = 3, + ACTIONS(286), 40, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [146] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(77), 10, - aux_sym_sequence_token5, + ACTIONS(414), 1, + anon_sym_LBRACK, + STATE(90), 1, + aux_sym_array_type_repeat1, + ACTIONS(83), 10, aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(75), 52, + ACTIONS(81), 51, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, aux_sym_pg_command_token1, - aux_sym_null_hint_token2, aux_sym_null_hint_token3, anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token8, aux_sym_auto_increment_constraint_token1, aux_sym_direction_constraint_token1, aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, anon_sym_CONSTRAINT, aux_sym_table_constraint_check_token1, aux_sym_table_constraint_unique_token1, aux_sym_table_constraint_primary_key_token1, - aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, anon_sym_DASH_GT_GT, - anon_sym_LBRACK, - anon_sym_COLON_COLON, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -13856,66 +24369,25 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [210] = 5, + [221] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(83), 1, - anon_sym_DOT, - STATE(11), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(81), 9, - aux_sym_sequence_token5, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(79), 50, + ACTIONS(295), 23, ts_builtin_sym_end, anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, + anon_sym_COMMA, + anon_sym_RPAREN, aux_sym_pg_command_token1, - aux_sym_null_hint_token2, - aux_sym_null_hint_token3, anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_grant_statement_token13, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_LBRACK, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -13924,108 +24396,158 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [283] = 9, - ACTIONS(3), 1, - sym_comment, - ACTIONS(89), 1, - anon_sym_LPAREN, - ACTIONS(91), 1, - anon_sym_DOT, - ACTIONS(93), 1, - anon_sym_DASH_GT_GT, - ACTIONS(95), 1, - anon_sym_LBRACK, - ACTIONS(97), 1, - anon_sym_COLON_COLON, - STATE(22), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(87), 8, - aux_sym_sequence_token5, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(85), 47, - ts_builtin_sym_end, - anon_sym_SEMI, + ACTIONS(297), 40, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - aux_sym_null_hint_token3, - anon_sym_EQ, - anon_sym_COMMA, + aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, - anon_sym_PLUS, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [292] = 24, + ACTIONS(3), 1, + sym_comment, + ACTIONS(352), 1, + aux_sym_cte_token1, + ACTIONS(368), 1, + sym__unquoted_identifier, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(417), 1, + aux_sym_cte_token2, + ACTIONS(419), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(423), 1, + aux_sym_is_expression_token1, + ACTIONS(425), 1, + aux_sym_boolean_expression_token1, + ACTIONS(427), 1, + aux_sym_boolean_expression_token2, + ACTIONS(429), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(441), 1, anon_sym_CARET, + ACTIONS(445), 1, + anon_sym_SLASH, + STATE(113), 1, + sym__quoted_identifier, + STATE(1669), 1, + sym_identifier, + ACTIONS(431), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(433), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(435), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(437), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(348), 4, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + ACTIONS(439), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(443), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(421), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [364] = 9, + ACTIONS(350), 22, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + [405] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(99), 1, - anon_sym_LPAREN, - ACTIONS(101), 1, - anon_sym_DOT, - ACTIONS(103), 1, - anon_sym_DASH_GT_GT, - ACTIONS(105), 1, - anon_sym_LBRACK, - ACTIONS(107), 1, + ACTIONS(447), 1, anon_sym_COLON_COLON, - STATE(25), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(85), 22, + ACTIONS(449), 1, + anon_sym_DOT_STAR, + ACTIONS(338), 22, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -14034,32 +24556,32 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - ACTIONS(87), 33, + ACTIONS(340), 39, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, - aux_sym_from_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, aux_sym_join_type_token3, @@ -14068,135 +24590,64 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [445] = 3, + [480] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(77), 1, - aux_sym_sequence_token8, - ACTIONS(75), 60, + ACTIONS(191), 23, ts_builtin_sym_end, anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_add_token1, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_sequence_token4, - aux_sym_sequence_token5, - aux_sym_sequence_token6, - aux_sym_sequence_token11, - aux_sym_sequence_token12, + anon_sym_COMMA, aux_sym_pg_command_token1, - aux_sym_create_function_statement_token3, - aux_sym_optimizer_hint_token1, - aux_sym_optimizer_hint_token2, - aux_sym_optimizer_hint_token3, - aux_sym_parallel_hint_token1, - aux_sym_parallel_hint_token2, - aux_sym_parallel_hint_token3, - aux_sym_parallel_hint_token4, - aux_sym_null_hint_token1, - aux_sym_null_hint_token2, - aux_sym_null_hint_token3, - aux_sym_null_hint_token5, - aux_sym__function_language_token1, anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_set_statement_token3, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_exclude_token1, - aux_sym_table_constraint_exclude_token2, - aux_sym_table_constraint_foreign_key_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_values_clause_token1, - anon_sym_DOT, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_LBRACK, - [514] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(69), 1, - aux_sym_sequence_token8, - ACTIONS(67), 60, - ts_builtin_sym_end, - anon_sym_SEMI, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(195), 40, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_add_token1, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_sequence_token4, - aux_sym_sequence_token5, - aux_sym_sequence_token6, - aux_sym_sequence_token11, - aux_sym_sequence_token12, - aux_sym_pg_command_token1, - aux_sym_create_function_statement_token3, - aux_sym_optimizer_hint_token1, - aux_sym_optimizer_hint_token2, - aux_sym_optimizer_hint_token3, - aux_sym_parallel_hint_token1, - aux_sym_parallel_hint_token2, - aux_sym_parallel_hint_token3, - aux_sym_parallel_hint_token4, - aux_sym_null_hint_token1, - aux_sym_null_hint_token2, - aux_sym_null_hint_token3, - aux_sym_null_hint_token5, - aux_sym__function_language_token1, - anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, - anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, - aux_sym_set_statement_token3, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_exclude_token1, - aux_sym_table_constraint_exclude_token2, - aux_sym_table_constraint_foreign_key_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_from_clause_token1, aux_sym_join_type_token1, @@ -14204,130 +24655,77 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_join_type_token3, aux_sym_join_type_token4, aux_sym_join_clause_token1, - aux_sym_values_clause_token1, - anon_sym_DOT, - anon_sym_LBRACK, - [583] = 5, - ACTIONS(3), 1, - sym_comment, - ACTIONS(113), 1, - anon_sym_DOT, - STATE(11), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(111), 9, - aux_sym_sequence_token5, - aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(109), 50, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - aux_sym_null_hint_token2, - aux_sym_null_hint_token3, - anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_grant_statement_token13, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_LBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [656] = 5, + [551] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(116), 1, - anon_sym_DOT, - STATE(6), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(87), 9, - aux_sym_sequence_token5, + ACTIONS(346), 1, + anon_sym_LBRACK, + STATE(79), 1, + aux_sym_array_type_repeat1, + ACTIONS(330), 11, aux_sym_create_function_parameter_token1, aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(85), 49, + ACTIONS(328), 50, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, aux_sym_pg_command_token1, - aux_sym_null_hint_token2, aux_sym_null_hint_token3, anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token8, + aux_sym_grant_statement_token12, aux_sym_auto_increment_constraint_token1, aux_sym_direction_constraint_token1, aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, anon_sym_CONSTRAINT, aux_sym_table_constraint_check_token1, aux_sym_table_constraint_unique_token1, aux_sym_table_constraint_primary_key_token1, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, - anon_sym_LBRACK, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -14336,35 +24734,25 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [728] = 9, + [626] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(118), 1, - anon_sym_LPAREN, - ACTIONS(120), 1, - anon_sym_DOT, - ACTIONS(122), 1, - anon_sym_DASH_GT_GT, - ACTIONS(124), 1, - anon_sym_LBRACK, - ACTIONS(126), 1, - anon_sym_COLON_COLON, - STATE(31), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(85), 22, + ACTIONS(451), 23, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -14373,31 +24761,33 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - ACTIONS(87), 32, + ACTIONS(453), 40, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, + aux_sym_from_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, aux_sym_join_type_token3, @@ -14406,28 +24796,31 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [808] = 3, + [697] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(67), 26, + ACTIONS(291), 23, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_LBRACK, - anon_sym_COLON_COLON, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -14436,30 +24829,31 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - ACTIONS(69), 34, + ACTIONS(293), 40, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_from_clause_token1, aux_sym_join_type_token1, @@ -14470,29 +24864,31 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [876] = 3, + [768] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(75), 26, + ACTIONS(246), 23, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_LBRACK, - anon_sym_COLON_COLON, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -14501,30 +24897,31 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - ACTIONS(77), 34, + ACTIONS(248), 40, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_from_clause_token1, aux_sym_join_type_token1, @@ -14535,64 +24932,32 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [944] = 3, + [839] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(69), 9, - aux_sym_sequence_token5, - aux_sym_create_function_parameter_token1, - anon_sym_DOT, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(67), 51, + ACTIONS(342), 1, + anon_sym_COLON_COLON, + ACTIONS(338), 22, ts_builtin_sym_end, anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, + anon_sym_COMMA, aux_sym_pg_command_token1, - aux_sym_null_hint_token3, anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - aux_sym_where_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + anon_sym_BQUOTE, + anon_sym_DQUOTE, anon_sym_DASH_GT_GT, - anon_sym_LBRACK, - anon_sym_COLON_COLON, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -14601,63 +24966,67 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [1012] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(111), 10, - aux_sym_sequence_token5, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DOT, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(109), 50, - ts_builtin_sym_end, - anon_sym_SEMI, + ACTIONS(340), 40, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - aux_sym_null_hint_token2, - aux_sym_null_hint_token3, - anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, + aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_grant_statement_token13, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [912] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(129), 1, anon_sym_LBRACK, + ACTIONS(338), 22, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -14666,92 +25035,65 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [1080] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(77), 9, - aux_sym_sequence_token5, - aux_sym_create_function_parameter_token1, - anon_sym_DOT, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(75), 51, - ts_builtin_sym_end, - anon_sym_SEMI, + ACTIONS(340), 40, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - aux_sym_null_hint_token3, - anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, + aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, - anon_sym_DASH_GT_GT, - anon_sym_LBRACK, - anon_sym_COLON_COLON, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [1148] = 3, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [985] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(75), 26, + ACTIONS(455), 23, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, anon_sym_DASH_GT_GT, - anon_sym_LBRACK, + anon_sym_POUND_GT_GT, anon_sym_COLON_COLON, anon_sym_PLUS, anon_sym_CARET, @@ -14761,31 +25103,33 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - ACTIONS(77), 33, + ACTIONS(457), 40, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, + aux_sym_from_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, aux_sym_join_type_token3, @@ -14794,31 +25138,31 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [1215] = 5, + [1056] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(128), 1, - anon_sym_DOT, - STATE(20), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(109), 24, + ACTIONS(459), 23, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, - anon_sym_LBRACK, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -14827,30 +25171,31 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - ACTIONS(111), 33, + ACTIONS(461), 40, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_from_clause_token1, aux_sym_join_type_token1, @@ -14861,63 +25206,30 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [1286] = 5, + [1127] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(131), 1, - anon_sym_DOT, - STATE(21), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(111), 8, - aux_sym_sequence_token5, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(109), 49, + ACTIONS(81), 23, ts_builtin_sym_end, anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, + anon_sym_COMMA, aux_sym_pg_command_token1, - aux_sym_null_hint_token3, anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - aux_sym_where_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, @@ -14927,94 +25239,66 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [1357] = 5, - ACTIONS(3), 1, - sym_comment, - ACTIONS(91), 1, - anon_sym_DOT, - STATE(21), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(81), 8, - aux_sym_sequence_token5, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(79), 49, - ts_builtin_sym_end, - anon_sym_SEMI, + ACTIONS(83), 40, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - aux_sym_null_hint_token3, - anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, + aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, - anon_sym_LBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [1428] = 3, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [1198] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(67), 26, + ACTIONS(209), 23, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_LBRACK, - anon_sym_COLON_COLON, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -15023,31 +25307,33 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - ACTIONS(69), 33, + ACTIONS(213), 40, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, + aux_sym_from_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, aux_sym_join_type_token3, @@ -15056,27 +25342,30 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [1495] = 3, + [1269] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(109), 25, + ACTIONS(258), 23, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, - anon_sym_RPAREN, anon_sym_BQUOTE, anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, @@ -15086,30 +25375,31 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - ACTIONS(111), 34, + ACTIONS(260), 40, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_from_clause_token1, aux_sym_join_type_token1, @@ -15120,30 +25410,30 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [1562] = 5, + [1340] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(101), 1, - anon_sym_DOT, - STATE(20), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(79), 24, + ACTIONS(254), 23, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, @@ -15153,30 +25443,31 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - ACTIONS(81), 33, + ACTIONS(256), 40, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_from_clause_token1, aux_sym_join_type_token1, @@ -15187,29 +25478,98 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [1633] = 5, + [1411] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(134), 1, - anon_sym_DOT, - STATE(25), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(85), 23, + ACTIONS(157), 1, + aux_sym_sequence_token5, + ACTIONS(155), 62, ts_builtin_sym_end, anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token1, + aux_sym_cte_token2, + anon_sym_LPAREN, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_add_token1, + aux_sym_alter_table_rename_column_token1, + aux_sym_alter_table_rename_column_token2, + aux_sym_sequence_token2, + aux_sym_sequence_token3, + aux_sym_sequence_token8, + aux_sym_sequence_token9, aux_sym_pg_command_token1, + aux_sym_create_function_statement_token3, + aux_sym_optimizer_hint_token1, + aux_sym_optimizer_hint_token2, + aux_sym_optimizer_hint_token3, + aux_sym_parallel_hint_token1, + aux_sym_parallel_hint_token2, + aux_sym_parallel_hint_token3, + aux_sym_parallel_hint_token4, + aux_sym_null_hint_token1, + aux_sym_null_hint_token2, + aux_sym_null_hint_token5, + aux_sym__function_language_token1, anon_sym_EQ, - anon_sym_LPAREN, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_exclude_token1, + aux_sym_table_constraint_exclude_token2, + aux_sym_table_constraint_foreign_key_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_values_clause_token1, + anon_sym_DOT, + anon_sym_COLON_COLON, + [1482] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(250), 23, + ts_builtin_sym_end, + anon_sym_SEMI, anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, anon_sym_BQUOTE, anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, @@ -15219,29 +25579,31 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(87), 33, + ACTIONS(252), 40, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_from_clause_token1, aux_sym_join_type_token1, @@ -15252,63 +25614,73 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [1703] = 5, + [1553] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(136), 1, - anon_sym_DOT, - STATE(22), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(87), 8, - aux_sym_sequence_token5, + ACTIONS(463), 1, + aux_sym_with_clause_token1, + ACTIONS(465), 1, + aux_sym_type_token3, + ACTIONS(213), 10, aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(85), 48, + ACTIONS(209), 51, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, aux_sym_pg_command_token1, aux_sym_null_hint_token3, anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, + aux_sym_grant_statement_token8, aux_sym_auto_increment_constraint_token1, aux_sym_direction_constraint_token1, aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, anon_sym_CONSTRAINT, aux_sym_table_constraint_check_token1, aux_sym_table_constraint_unique_token1, aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, + aux_sym_from_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, @@ -15318,91 +25690,96 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [1773] = 3, + [1628] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(111), 9, - aux_sym_sequence_token5, - aux_sym_create_function_parameter_token1, - anon_sym_DOT, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(109), 49, + ACTIONS(406), 23, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(408), 40, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - aux_sym_null_hint_token3, - anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, + aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, - anon_sym_LBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [1839] = 5, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [1699] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(138), 1, - anon_sym_DOT, - STATE(29), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(109), 24, + ACTIONS(467), 1, + aux_sym_with_clause_token1, + ACTIONS(469), 1, + aux_sym_type_token3, + ACTIONS(191), 23, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, @@ -15412,30 +25789,30 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - ACTIONS(111), 32, + ACTIONS(195), 38, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, @@ -15445,117 +25822,30 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [1909] = 28, - ACTIONS(3), 1, - sym_comment, - ACTIONS(31), 1, - anon_sym_RPAREN, - ACTIONS(141), 1, - aux_sym_sequence_token2, - ACTIONS(143), 1, - aux_sym_null_hint_token3, - ACTIONS(145), 1, - anon_sym_LPAREN, - ACTIONS(147), 1, - aux_sym_TRUE_token1, - ACTIONS(149), 1, - aux_sym_FALSE_token1, - ACTIONS(151), 1, - aux_sym_number_token1, - ACTIONS(153), 1, - sym__unquoted_identifier, - ACTIONS(155), 1, - anon_sym_BQUOTE, - ACTIONS(157), 1, - anon_sym_DQUOTE, - ACTIONS(159), 1, - anon_sym_SQUOTE, - ACTIONS(161), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(165), 1, - anon_sym_DASH, - ACTIONS(167), 1, - anon_sym_STAR, - ACTIONS(169), 1, - aux_sym_interval_expression_token1, - ACTIONS(171), 1, - anon_sym_DOLLAR, - STATE(195), 1, - sym_identifier, - STATE(244), 1, - sym__quoted_identifier, - STATE(467), 1, - sym__expression, - STATE(522), 1, - sym_argument_reference, - STATE(966), 1, - sym_select_clause_body, - STATE(524), 2, - sym_dotted_name, - sym__identifier, - STATE(1157), 2, - sym__aliased_expression, - sym__aliasable_expression, - STATE(525), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(163), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - ACTIONS(33), 9, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - STATE(603), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [2025] = 5, + [1774] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(120), 1, - anon_sym_DOT, - STATE(29), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(79), 24, + ACTIONS(88), 23, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, @@ -15565,31 +25855,33 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - ACTIONS(81), 32, + ACTIONS(90), 40, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, + aux_sym_from_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, aux_sym_join_type_token3, @@ -15598,38 +25890,45 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [2095] = 4, + [1845] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(177), 1, + ACTIONS(133), 1, + aux_sym_sequence_token5, + ACTIONS(131), 62, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token1, + aux_sym_cte_token2, anon_sym_LPAREN, - ACTIONS(175), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(173), 49, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_add_token1, + aux_sym_alter_table_rename_column_token1, + aux_sym_alter_table_rename_column_token2, aux_sym_sequence_token2, aux_sym_sequence_token3, + aux_sym_sequence_token8, + aux_sym_sequence_token9, aux_sym_pg_command_token1, aux_sym_create_function_statement_token3, aux_sym_optimizer_hint_token1, @@ -15640,20 +25939,53 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_parallel_hint_token3, aux_sym_parallel_hint_token4, aux_sym_null_hint_token1, - aux_sym_null_hint_token3, + aux_sym_null_hint_token2, aux_sym_null_hint_token5, aux_sym__function_language_token1, anon_sym_EQ, - anon_sym_COMMA, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_exclude_token1, + aux_sym_table_constraint_exclude_token2, + aux_sym_table_constraint_foreign_key_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_values_clause_token1, + anon_sym_DOT, + anon_sym_COLON_COLON, + [1916] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(471), 1, + aux_sym_with_clause_token1, + ACTIONS(473), 1, + aux_sym_type_token3, + ACTIONS(209), 23, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, @@ -15663,28 +25995,63 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [2163] = 5, + ACTIONS(213), 38, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [1991] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(179), 1, - anon_sym_DOT, - STATE(31), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(85), 23, + ACTIONS(238), 23, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, @@ -15694,30 +26061,33 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(87), 32, + ACTIONS(242), 40, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, + aux_sym_from_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, aux_sym_join_type_token3, @@ -15726,61 +26096,102 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [2232] = 3, + [2062] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(183), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(181), 49, + ACTIONS(307), 23, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(309), 40, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_pg_command_token1, - aux_sym_create_function_statement_token3, - aux_sym_optimizer_hint_token1, - aux_sym_optimizer_hint_token2, - aux_sym_optimizer_hint_token3, - aux_sym_parallel_hint_token1, - aux_sym_parallel_hint_token2, - aux_sym_parallel_hint_token3, - aux_sym_parallel_hint_token4, - aux_sym_null_hint_token1, - aux_sym_null_hint_token3, - aux_sym_null_hint_token5, - aux_sym__function_language_token1, - anon_sym_EQ, - anon_sym_COMMA, + aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [2133] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(475), 1, anon_sym_LBRACK, + STATE(126), 1, + aux_sym_array_type_repeat1, + ACTIONS(75), 22, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -15789,60 +26200,108 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [2297] = 3, + ACTIONS(77), 39, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [2208] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(187), 8, + ACTIONS(477), 1, + anon_sym_DOT, + STATE(121), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(115), 12, + aux_sym_with_clause_token1, aux_sym_create_function_parameter_token1, aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(185), 49, + ACTIONS(113), 49, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_cte_token2, + anon_sym_LPAREN, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_pg_command_token1, - aux_sym_create_function_statement_token3, - aux_sym_optimizer_hint_token1, - aux_sym_optimizer_hint_token2, - aux_sym_optimizer_hint_token3, - aux_sym_parallel_hint_token1, - aux_sym_parallel_hint_token2, - aux_sym_parallel_hint_token3, - aux_sym_parallel_hint_token4, - aux_sym_null_hint_token1, - aux_sym_null_hint_token3, - aux_sym_null_hint_token5, - aux_sym__function_language_token1, anon_sym_EQ, - anon_sym_COMMA, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_table_constraint_check_token1, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -15851,59 +26310,67 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [2362] = 3, + [2283] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(73), 9, - aux_sym_sequence_token5, + ACTIONS(477), 1, + anon_sym_DOT, + STATE(118), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(121), 12, + aux_sym_with_clause_token1, aux_sym_create_function_parameter_token1, aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(71), 48, + ACTIONS(119), 49, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_cte_token2, + anon_sym_LPAREN, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, aux_sym_pg_command_token1, - aux_sym_null_hint_token3, anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_grant_statement_token13, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, @@ -15913,24 +26380,24 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [2427] = 3, + [2358] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(109), 24, + ACTIONS(280), 23, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, @@ -15940,31 +26407,33 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - ACTIONS(111), 33, + ACTIONS(282), 40, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, + aux_sym_from_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, aux_sym_join_type_token3, @@ -15973,61 +26442,73 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [2492] = 4, + [2429] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(189), 1, - anon_sym_LPAREN, - ACTIONS(175), 8, - aux_sym_sequence_token5, + ACTIONS(479), 1, + anon_sym_DOT, + STATE(121), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(94), 12, + aux_sym_with_clause_token1, aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(173), 47, + ACTIONS(92), 49, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_cte_token2, + anon_sym_LPAREN, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, aux_sym_pg_command_token1, - aux_sym_null_hint_token3, anon_sym_EQ, - anon_sym_COMMA, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, @@ -16037,60 +26518,66 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [2558] = 4, + [2504] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(195), 1, - anon_sym_LBRACK, - ACTIONS(193), 9, - aux_sym_sequence_token5, + ACTIONS(90), 11, aux_sym_create_function_parameter_token1, aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(191), 46, + ACTIONS(88), 52, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, aux_sym_pg_command_token1, aux_sym_null_hint_token3, anon_sym_EQ, - anon_sym_COMMA, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token8, + aux_sym_grant_statement_token12, aux_sym_auto_increment_constraint_token1, aux_sym_direction_constraint_token1, aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, anon_sym_CONSTRAINT, aux_sym_table_constraint_check_token1, aux_sym_table_constraint_unique_token1, aux_sym_table_constraint_primary_key_token1, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -16099,59 +26586,28 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [2624] = 3, + [2575] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(199), 9, - aux_sym_sequence_token5, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(197), 47, + ACTIONS(475), 1, + anon_sym_LBRACK, + STATE(117), 1, + aux_sym_array_type_repeat1, + ACTIONS(328), 22, ts_builtin_sym_end, anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, + anon_sym_COMMA, aux_sym_pg_command_token1, - aux_sym_null_hint_token3, anon_sym_EQ, - anon_sym_COMMA, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_grant_statement_token13, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_COLON_COLON, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -16160,59 +26616,108 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [2688] = 3, + ACTIONS(330), 39, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [2650] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(203), 9, - aux_sym_sequence_token5, + ACTIONS(482), 1, + anon_sym_LBRACK, + STATE(133), 1, + aux_sym_array_type_repeat1, + ACTIONS(330), 10, aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(201), 47, + ACTIONS(328), 51, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, aux_sym_pg_command_token1, aux_sym_null_hint_token3, anon_sym_EQ, - anon_sym_COMMA, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token8, aux_sym_auto_increment_constraint_token1, aux_sym_direction_constraint_token1, aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, anon_sym_CONSTRAINT, aux_sym_table_constraint_check_token1, aux_sym_table_constraint_unique_token1, aux_sym_table_constraint_primary_key_token1, - aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, - anon_sym_COLON_COLON, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -16221,59 +26726,68 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [2752] = 3, + [2725] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(207), 9, - aux_sym_sequence_token5, + ACTIONS(484), 1, + aux_sym_with_clause_token1, + ACTIONS(486), 1, + aux_sym_type_token3, + ACTIONS(242), 10, aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(205), 47, + ACTIONS(238), 51, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, aux_sym_pg_command_token1, aux_sym_null_hint_token3, anon_sym_EQ, - anon_sym_COMMA, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token8, aux_sym_auto_increment_constraint_token1, aux_sym_direction_constraint_token1, aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, anon_sym_CONSTRAINT, aux_sym_table_constraint_check_token1, aux_sym_table_constraint_unique_token1, aux_sym_table_constraint_primary_key_token1, - aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, - anon_sym_COLON_COLON, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -16282,136 +26796,151 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [2816] = 21, + [2800] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(213), 1, - aux_sym_sequence_token2, - ACTIONS(215), 1, - aux_sym_sequence_token3, - ACTIONS(217), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(221), 1, - aux_sym_is_expression_token1, - ACTIONS(223), 1, - aux_sym_boolean_expression_token1, - ACTIONS(225), 1, - aux_sym_boolean_expression_token2, - ACTIONS(227), 1, - sym__unquoted_identifier, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(235), 1, - anon_sym_DASH, - ACTIONS(239), 1, - anon_sym_CARET, - ACTIONS(243), 1, - anon_sym_SLASH, - STATE(10), 1, - sym__quoted_identifier, - STATE(960), 1, - sym_identifier, - ACTIONS(233), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(209), 4, + ACTIONS(488), 1, + anon_sym_LBRACK, + STATE(126), 1, + aux_sym_array_type_repeat1, + ACTIONS(81), 22, ts_builtin_sym_end, anon_sym_SEMI, - aux_sym_pg_command_token1, anon_sym_COMMA, - ACTIONS(237), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(241), 5, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - ACTIONS(219), 6, - anon_sym_EQ, + anon_sym_PIPE, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(211), 20, + ACTIONS(83), 39, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, - aux_sym_from_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, aux_sym_join_type_token3, aux_sym_join_type_token4, aux_sym_join_clause_token1, - [2916] = 4, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [2875] = 30, ACTIONS(3), 1, sym_comment, - ACTIONS(245), 1, + ACTIONS(35), 1, + anon_sym_RPAREN, + ACTIONS(491), 1, + aux_sym_cte_token2, + ACTIONS(493), 1, anon_sym_LPAREN, - ACTIONS(173), 22, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_pg_command_token1, - anon_sym_EQ, - anon_sym_COMMA, + ACTIONS(495), 1, + aux_sym_null_hint_token3, + ACTIONS(497), 1, + aux_sym_select_subexpression_token1, + ACTIONS(499), 1, + aux_sym_conditional_expression_token1, + ACTIONS(501), 1, + aux_sym_TRUE_token1, + ACTIONS(503), 1, + aux_sym_FALSE_token1, + ACTIONS(505), 1, + aux_sym_number_token1, + ACTIONS(507), 1, + sym__unquoted_identifier, + ACTIONS(509), 1, anon_sym_BQUOTE, + ACTIONS(511), 1, anon_sym_DQUOTE, - anon_sym_LBRACK, - anon_sym_PLUS, - anon_sym_CARET, + ACTIONS(513), 1, + anon_sym_SQUOTE, + ACTIONS(515), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(519), 1, + anon_sym_DASH, + ACTIONS(521), 1, anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(175), 33, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + ACTIONS(523), 1, + aux_sym_interval_expression_token1, + ACTIONS(525), 1, + anon_sym_DOLLAR, + STATE(473), 1, + sym_identifier, + STATE(504), 1, + sym__quoted_identifier, + STATE(712), 1, + sym__expression, + STATE(961), 1, + sym_argument_reference, + STATE(1693), 1, + sym_select_clause_body, + STATE(862), 2, + sym_dotted_name, + sym__identifier, + STATE(1926), 2, + sym__aliased_expression, + sym__aliasable_expression, + STATE(962), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(517), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + ACTIONS(37), 10, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_from_clause_token1, aux_sym_join_type_token1, @@ -16419,184 +26948,81 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_join_type_token3, aux_sym_join_type_token4, aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [2982] = 3, + STATE(1000), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [3000] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(249), 9, - aux_sym_sequence_token5, + ACTIONS(527), 1, + aux_sym_with_clause_token1, + ACTIONS(529), 1, + aux_sym_type_token3, + ACTIONS(195), 10, aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(247), 47, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - aux_sym_null_hint_token3, - anon_sym_EQ, - anon_sym_COMMA, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_grant_statement_token13, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_COLON_COLON, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [3046] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(253), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(251), 48, + ACTIONS(191), 51, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_pg_command_token1, - aux_sym_create_function_statement_token3, - aux_sym_optimizer_hint_token1, - aux_sym_optimizer_hint_token2, - aux_sym_optimizer_hint_token3, - aux_sym_parallel_hint_token1, - aux_sym_parallel_hint_token2, - aux_sym_parallel_hint_token3, - aux_sym_parallel_hint_token4, - aux_sym_null_hint_token1, - aux_sym_null_hint_token5, - aux_sym__function_language_token1, - anon_sym_EQ, anon_sym_COMMA, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_COLON_COLON, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [3110] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(199), 8, - aux_sym_sequence_token5, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(197), 47, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, aux_sym_pg_command_token1, aux_sym_null_hint_token3, anon_sym_EQ, - anon_sym_COMMA, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, + aux_sym_grant_statement_token8, aux_sym_auto_increment_constraint_token1, aux_sym_direction_constraint_token1, aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, anon_sym_CONSTRAINT, aux_sym_table_constraint_check_token1, aux_sym_table_constraint_unique_token1, aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, + aux_sym_from_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, - anon_sym_COLON_COLON, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -16605,58 +27031,68 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [3173] = 3, + [3075] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(249), 8, - aux_sym_sequence_token5, + ACTIONS(531), 1, + anon_sym_COLON_COLON, + ACTIONS(533), 1, + anon_sym_DOT_STAR, + ACTIONS(340), 10, aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(247), 47, + ACTIONS(338), 51, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, aux_sym_pg_command_token1, aux_sym_null_hint_token3, anon_sym_EQ, - anon_sym_COMMA, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, + aux_sym_grant_statement_token8, aux_sym_auto_increment_constraint_token1, aux_sym_direction_constraint_token1, aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, anon_sym_CONSTRAINT, aux_sym_table_constraint_check_token1, aux_sym_table_constraint_unique_token1, aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, + aux_sym_from_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, - anon_sym_COLON_COLON, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -16665,23 +27101,24 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [3236] = 3, + [3150] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(197), 22, + ACTIONS(535), 23, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_COLON_COLON, anon_sym_PLUS, anon_sym_CARET, @@ -16691,29 +27128,31 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(199), 33, + ACTIONS(537), 40, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_from_clause_token1, aux_sym_join_type_token1, @@ -16724,25 +27163,31 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [3299] = 3, + [3221] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(251), 22, + ACTIONS(539), 23, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, - anon_sym_COLON_COLON, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -16751,29 +27196,31 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(253), 33, + ACTIONS(541), 40, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_from_clause_token1, aux_sym_join_type_token1, @@ -16784,126 +27231,72 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [3362] = 9, + [3292] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(255), 1, - anon_sym_LPAREN, - ACTIONS(257), 1, - anon_sym_DOT, - ACTIONS(259), 1, - anon_sym_DASH_GT_GT, - ACTIONS(261), 1, - anon_sym_LBRACK, - ACTIONS(263), 1, - anon_sym_COLON_COLON, - STATE(145), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(87), 8, + ACTIONS(83), 11, aux_sym_create_function_parameter_token1, aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(85), 41, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [3437] = 4, - ACTIONS(3), 1, - sym_comment, - ACTIONS(97), 1, - anon_sym_COLON_COLON, - ACTIONS(267), 8, - aux_sym_sequence_token5, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(265), 46, + ACTIONS(81), 52, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, aux_sym_pg_command_token1, aux_sym_null_hint_token3, anon_sym_EQ, - anon_sym_COMMA, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, + aux_sym_grant_statement_token8, + aux_sym_grant_statement_token12, aux_sym_auto_increment_constraint_token1, aux_sym_direction_constraint_token1, aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, anon_sym_CONSTRAINT, aux_sym_table_constraint_check_token1, aux_sym_table_constraint_unique_token1, aux_sym_table_constraint_primary_key_token1, - aux_sym_where_clause_token1, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -16912,59 +27305,68 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [3502] = 4, + [3363] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(269), 1, - anon_sym_DOT_STAR, - ACTIONS(267), 8, - aux_sym_sequence_token5, + ACTIONS(482), 1, + anon_sym_LBRACK, + STATE(90), 1, + aux_sym_array_type_repeat1, + ACTIONS(77), 10, aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(265), 46, + ACTIONS(75), 51, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, aux_sym_pg_command_token1, aux_sym_null_hint_token3, anon_sym_EQ, - anon_sym_COMMA, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, + aux_sym_grant_statement_token8, aux_sym_auto_increment_constraint_token1, aux_sym_direction_constraint_token1, aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, anon_sym_CONSTRAINT, aux_sym_table_constraint_check_token1, aux_sym_table_constraint_unique_token1, aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, + aux_sym_from_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -16973,24 +27375,25 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [3567] = 3, + [3438] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(185), 22, + ACTIONS(270), 23, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, anon_sym_BQUOTE, anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -16999,29 +27402,31 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(187), 33, + ACTIONS(272), 40, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_from_clause_token1, aux_sym_join_type_token1, @@ -17032,165 +27437,98 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [3630] = 4, + [3509] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(95), 1, - anon_sym_LBRACK, - ACTIONS(267), 8, - aux_sym_sequence_token5, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(265), 46, + ACTIONS(307), 23, ts_builtin_sym_end, anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, + anon_sym_COMMA, aux_sym_pg_command_token1, - aux_sym_null_hint_token3, anon_sym_EQ, - anon_sym_COMMA, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - aux_sym_where_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [3695] = 21, - ACTIONS(3), 1, - sym_comment, - ACTIONS(215), 1, - aux_sym_sequence_token3, - ACTIONS(227), 1, - sym__unquoted_identifier, - ACTIONS(229), 1, anon_sym_BQUOTE, - ACTIONS(231), 1, anon_sym_DQUOTE, - ACTIONS(271), 1, - aux_sym_sequence_token2, - ACTIONS(273), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(277), 1, - aux_sym_is_expression_token1, - ACTIONS(279), 1, - aux_sym_boolean_expression_token1, - ACTIONS(281), 1, - aux_sym_boolean_expression_token2, - ACTIONS(285), 1, - anon_sym_DASH, - ACTIONS(289), 1, - anon_sym_CARET, - ACTIONS(293), 1, - anon_sym_SLASH, - STATE(10), 1, - sym__quoted_identifier, - STATE(960), 1, - sym_identifier, - ACTIONS(283), 3, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(209), 4, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_pg_command_token1, - anon_sym_COMMA, - ACTIONS(287), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(291), 5, + anon_sym_CARET, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - ACTIONS(275), 6, - anon_sym_EQ, + anon_sym_PIPE, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(211), 19, + ACTIONS(309), 39, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, aux_sym_join_type_token3, aux_sym_join_type_token4, aux_sym_join_clause_token1, - [3794] = 4, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [3579] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(295), 1, - anon_sym_LBRACK, - ACTIONS(191), 21, + ACTIONS(284), 23, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -17199,31 +27537,32 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(193), 33, + ACTIONS(286), 39, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, - aux_sym_from_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, aux_sym_join_type_token3, @@ -17232,25 +27571,30 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [3859] = 3, + [3649] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(297), 22, + ACTIONS(543), 22, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, anon_sym_BQUOTE, anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -17259,29 +27603,31 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(299), 33, + ACTIONS(545), 40, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_from_clause_token1, aux_sym_join_type_token1, @@ -17292,25 +27638,30 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [3922] = 3, + [3719] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(247), 22, + ACTIONS(547), 22, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, - anon_sym_COLON_COLON, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -17319,29 +27670,31 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(249), 33, + ACTIONS(549), 40, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_from_clause_token1, aux_sym_join_type_token1, @@ -17352,25 +27705,31 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [3985] = 3, + [3789] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(301), 22, + ACTIONS(459), 23, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, - anon_sym_LBRACK, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -17379,31 +27738,32 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(303), 33, + ACTIONS(461), 39, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, - aux_sym_from_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, aux_sym_join_type_token3, @@ -17412,24 +27772,97 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [4048] = 3, + [3859] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(201), 22, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_pg_command_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_BQUOTE, - anon_sym_DQUOTE, + ACTIONS(461), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(459), 51, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_pg_command_token1, + aux_sym_null_hint_token3, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, + aux_sym_grant_statement_token12, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [3929] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(535), 23, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_COLON_COLON, anon_sym_PLUS, anon_sym_CARET, @@ -17439,31 +27872,32 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(203), 33, + ACTIONS(537), 39, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, - aux_sym_from_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, aux_sym_join_type_token3, @@ -17472,25 +27906,30 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [4111] = 3, + [3999] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(71), 22, + ACTIONS(551), 22, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, - anon_sym_LBRACK, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -17499,29 +27938,31 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(73), 33, + ACTIONS(553), 40, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_from_clause_token1, aux_sym_join_type_token1, @@ -17532,59 +27973,72 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [4174] = 3, + [4069] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(303), 8, - aux_sym_sequence_token5, + ACTIONS(531), 1, + anon_sym_COLON_COLON, + ACTIONS(340), 10, aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(301), 47, + ACTIONS(338), 51, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, aux_sym_pg_command_token1, aux_sym_null_hint_token3, anon_sym_EQ, - anon_sym_COMMA, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, + aux_sym_grant_statement_token8, aux_sym_auto_increment_constraint_token1, aux_sym_direction_constraint_token1, aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, anon_sym_CONSTRAINT, aux_sym_table_constraint_check_token1, aux_sym_table_constraint_unique_token1, aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, + aux_sym_from_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, - anon_sym_LBRACK, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -17593,58 +28047,66 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [4237] = 3, + [4141] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(183), 8, - aux_sym_sequence_token5, + ACTIONS(183), 1, + anon_sym_LBRACK, + ACTIONS(340), 10, aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(181), 47, + ACTIONS(338), 51, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, aux_sym_pg_command_token1, aux_sym_null_hint_token3, anon_sym_EQ, - anon_sym_COMMA, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, + aux_sym_grant_statement_token8, aux_sym_auto_increment_constraint_token1, aux_sym_direction_constraint_token1, aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, anon_sym_CONSTRAINT, aux_sym_table_constraint_check_token1, aux_sym_table_constraint_unique_token1, aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, + aux_sym_from_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, - anon_sym_LBRACK, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -17653,57 +28115,132 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [4300] = 3, + [4213] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(73), 8, - aux_sym_sequence_token5, + ACTIONS(366), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(555), 22, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(557), 39, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [4285] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(541), 10, aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(71), 47, + ACTIONS(539), 52, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, aux_sym_pg_command_token1, aux_sym_null_hint_token3, anon_sym_EQ, - anon_sym_COMMA, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, + aux_sym_grant_statement_token8, aux_sym_auto_increment_constraint_token1, aux_sym_direction_constraint_token1, aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, anon_sym_CONSTRAINT, aux_sym_table_constraint_check_token1, aux_sym_table_constraint_unique_token1, aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, + aux_sym_from_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, @@ -17713,25 +28250,65 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [4363] = 4, + [4355] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(107), 1, - anon_sym_COLON_COLON, - ACTIONS(265), 21, + ACTIONS(293), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(291), 52, ts_builtin_sym_end, anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_alter_column_token3, aux_sym_pg_command_token1, + aux_sym_null_hint_token3, anon_sym_EQ, - anon_sym_COMMA, - anon_sym_BQUOTE, - anon_sym_DQUOTE, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -17740,31 +28317,50 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(267), 33, + [4425] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(157), 12, + aux_sym_with_clause_token1, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(155), 50, + ts_builtin_sym_end, + anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_cte_token2, + anon_sym_LPAREN, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, + aux_sym_pg_command_token1, + anon_sym_EQ, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, - aux_sym_from_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, aux_sym_join_type_token3, @@ -17772,27 +28368,109 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, + aux_sym_at_time_zone_expression_token1, + anon_sym_DOT, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [4495] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(242), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [4428] = 4, + ACTIONS(238), 52, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_pg_command_token1, + aux_sym_null_hint_token3, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [4565] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(305), 1, - anon_sym_DOT_STAR, - ACTIONS(265), 21, + ACTIONS(366), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(559), 22, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -17801,29 +28479,31 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(267), 33, + ACTIONS(561), 39, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_from_clause_token1, aux_sym_join_type_token1, @@ -17835,25 +28515,28 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [4493] = 4, + [4637] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(105), 1, - anon_sym_LBRACK, - ACTIONS(265), 21, + ACTIONS(563), 22, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -17862,29 +28545,31 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(267), 33, + ACTIONS(565), 40, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_from_clause_token1, aux_sym_join_type_token1, @@ -17895,25 +28580,31 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [4558] = 3, + [4707] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(181), 22, + ACTIONS(455), 23, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, - anon_sym_LBRACK, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -17922,31 +28613,32 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(183), 33, + ACTIONS(457), 39, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, - aux_sym_from_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, aux_sym_join_type_token3, @@ -17955,59 +28647,138 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [4621] = 3, + [4777] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(203), 8, - aux_sym_sequence_token5, + ACTIONS(133), 12, + aux_sym_with_clause_token1, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(131), 50, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_cte_token2, + anon_sym_LPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DOT, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [4847] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(90), 10, aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(201), 47, + ACTIONS(88), 52, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, aux_sym_pg_command_token1, aux_sym_null_hint_token3, anon_sym_EQ, - anon_sym_COMMA, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, + aux_sym_grant_statement_token8, aux_sym_auto_increment_constraint_token1, aux_sym_direction_constraint_token1, aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, anon_sym_CONSTRAINT, aux_sym_table_constraint_check_token1, aux_sym_table_constraint_unique_token1, aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, + aux_sym_from_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, - anon_sym_COLON_COLON, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -18016,57 +28787,64 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [4684] = 3, + [4917] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(207), 8, - aux_sym_sequence_token5, + ACTIONS(453), 10, aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(205), 47, + ACTIONS(451), 52, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, aux_sym_pg_command_token1, aux_sym_null_hint_token3, anon_sym_EQ, - anon_sym_COMMA, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, + aux_sym_grant_statement_token8, aux_sym_auto_increment_constraint_token1, aux_sym_direction_constraint_token1, aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, anon_sym_CONSTRAINT, aux_sym_table_constraint_check_token1, aux_sym_table_constraint_unique_token1, aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, + aux_sym_from_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_COLON_COLON, anon_sym_PLUS, anon_sym_CARET, @@ -18076,57 +28854,64 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [4747] = 3, + [4987] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(253), 8, - aux_sym_sequence_token5, + ACTIONS(408), 10, aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(251), 47, + ACTIONS(406), 52, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, aux_sym_pg_command_token1, aux_sym_null_hint_token3, anon_sym_EQ, - anon_sym_COMMA, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, + aux_sym_grant_statement_token8, aux_sym_auto_increment_constraint_token1, aux_sym_direction_constraint_token1, aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, anon_sym_CONSTRAINT, aux_sym_table_constraint_check_token1, aux_sym_table_constraint_unique_token1, aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, + aux_sym_from_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_COLON_COLON, anon_sym_PLUS, anon_sym_CARET, @@ -18136,59 +28921,65 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [4810] = 4, + [5057] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(307), 1, - anon_sym_LBRACK, - ACTIONS(193), 8, - aux_sym_sequence_token5, + ACTIONS(248), 10, aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(191), 46, + ACTIONS(246), 52, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, aux_sym_pg_command_token1, aux_sym_null_hint_token3, anon_sym_EQ, - anon_sym_COMMA, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, + aux_sym_grant_statement_token8, aux_sym_auto_increment_constraint_token1, aux_sym_direction_constraint_token1, aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, anon_sym_CONSTRAINT, aux_sym_table_constraint_check_token1, aux_sym_table_constraint_unique_token1, aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, + aux_sym_from_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -18197,26 +28988,24 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [4875] = 4, + [5127] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(309), 1, - anon_sym_LPAREN, - ACTIONS(173), 22, + ACTIONS(567), 22, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, - anon_sym_LBRACK, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -18225,30 +29014,33 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(175), 32, + ACTIONS(569), 40, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, + aux_sym_from_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, aux_sym_join_type_token3, @@ -18257,25 +29049,30 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [4940] = 3, + [5197] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(205), 22, + ACTIONS(571), 22, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, - anon_sym_COLON_COLON, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -18284,29 +29081,31 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(207), 33, + ACTIONS(573), 40, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_from_clause_token1, aux_sym_join_type_token1, @@ -18317,85 +29116,138 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [5003] = 4, + [5267] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(315), 1, - anon_sym_CARET, - ACTIONS(313), 8, - aux_sym_sequence_token5, + ACTIONS(457), 10, aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(311), 45, + ACTIONS(455), 52, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, aux_sym_pg_command_token1, aux_sym_null_hint_token3, anon_sym_EQ, - anon_sym_COMMA, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, + aux_sym_grant_statement_token8, aux_sym_auto_increment_constraint_token1, aux_sym_direction_constraint_token1, aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, anon_sym_CONSTRAINT, aux_sym_table_constraint_check_token1, aux_sym_table_constraint_unique_token1, aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, + aux_sym_from_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, anon_sym_PLUS, + anon_sym_CARET, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [5067] = 3, + [5337] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(251), 22, + ACTIONS(282), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(280), 52, ts_builtin_sym_end, anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_alter_column_token3, aux_sym_pg_command_token1, + aux_sym_null_hint_token3, anon_sym_EQ, - anon_sym_COMMA, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_COLON_COLON, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -18404,56 +29256,25 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(253), 32, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [5129] = 3, + [5407] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(317), 21, + ACTIONS(406), 23, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -18462,31 +29283,32 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(319), 33, + ACTIONS(408), 39, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, - aux_sym_from_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, aux_sym_join_type_token3, @@ -18495,24 +29317,31 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [5191] = 3, + [5477] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(321), 21, + ACTIONS(81), 23, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -18521,31 +29350,32 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(323), 33, + ACTIONS(83), 39, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, - aux_sym_from_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, aux_sym_join_type_token3, @@ -18554,58 +29384,71 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [5253] = 3, + [5547] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(327), 8, - aux_sym_sequence_token5, + ACTIONS(537), 10, aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(325), 46, + ACTIONS(535), 52, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, aux_sym_pg_command_token1, aux_sym_null_hint_token3, anon_sym_EQ, - anon_sym_COMMA, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, + aux_sym_grant_statement_token8, aux_sym_auto_increment_constraint_token1, aux_sym_direction_constraint_token1, aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, anon_sym_CONSTRAINT, aux_sym_table_constraint_check_token1, aux_sym_table_constraint_unique_token1, aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, + aux_sym_from_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -18614,57 +29457,65 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [5315] = 3, + [5617] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(331), 8, - aux_sym_sequence_token5, + ACTIONS(256), 10, aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(329), 46, + ACTIONS(254), 52, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, aux_sym_pg_command_token1, aux_sym_null_hint_token3, anon_sym_EQ, - anon_sym_COMMA, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, + aux_sym_grant_statement_token8, aux_sym_auto_increment_constraint_token1, aux_sym_direction_constraint_token1, aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, anon_sym_CONSTRAINT, aux_sym_table_constraint_check_token1, aux_sym_table_constraint_unique_token1, aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, + aux_sym_from_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -18673,57 +29524,135 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [5377] = 3, + [5687] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(187), 8, - aux_sym_sequence_token5, + ACTIONS(451), 23, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(453), 39, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [5757] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(575), 1, + anon_sym_LPAREN, + ACTIONS(577), 1, + anon_sym_DOT, + ACTIONS(579), 1, + anon_sym_LBRACK, + STATE(280), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(121), 11, aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(185), 46, + ACTIONS(119), 47, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, aux_sym_pg_command_token1, - aux_sym_null_hint_token3, anon_sym_EQ, - anon_sym_COMMA, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -18732,57 +29661,66 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [5439] = 3, + anon_sym_DOT_STAR, + [5835] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(335), 8, - aux_sym_sequence_token5, + ACTIONS(461), 10, aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(333), 46, + ACTIONS(459), 52, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, aux_sym_pg_command_token1, aux_sym_null_hint_token3, anon_sym_EQ, - anon_sym_COMMA, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, + aux_sym_grant_statement_token8, aux_sym_auto_increment_constraint_token1, aux_sym_direction_constraint_token1, aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, anon_sym_CONSTRAINT, aux_sym_table_constraint_check_token1, aux_sym_table_constraint_unique_token1, aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, + aux_sym_from_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -18791,54 +29729,60 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [5501] = 3, + [5905] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(337), 21, + ACTIONS(366), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(384), 1, + anon_sym_CARET, + ACTIONS(581), 21, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_CARET, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(339), 33, + ACTIONS(583), 39, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_from_clause_token1, aux_sym_join_type_token1, @@ -18850,147 +29794,167 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [5563] = 3, + [5979] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(343), 8, - aux_sym_sequence_token5, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(341), 46, + ACTIONS(366), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(581), 22, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(583), 39, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - aux_sym_null_hint_token3, - anon_sym_EQ, - anon_sym_COMMA, + aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [5625] = 8, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [6051] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(315), 1, + ACTIONS(366), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(384), 1, anon_sym_CARET, - ACTIONS(347), 1, - anon_sym_DASH, - ACTIONS(351), 1, + ACTIONS(388), 1, anon_sym_SLASH, - ACTIONS(345), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(349), 5, + ACTIONS(386), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - ACTIONS(313), 6, - aux_sym_sequence_token5, - aux_sym_create_function_parameter_token1, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(311), 37, + ACTIONS(581), 16, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(583), 38, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - aux_sym_null_hint_token3, - anon_sym_EQ, - anon_sym_COMMA, + aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [5697] = 3, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [6129] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(201), 22, + ACTIONS(585), 22, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, - anon_sym_COLON_COLON, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -18999,30 +29963,33 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(203), 32, + ACTIONS(587), 40, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, + aux_sym_from_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, aux_sym_join_type_token3, @@ -19031,58 +29998,71 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [5759] = 3, + [6199] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(355), 8, - aux_sym_sequence_token5, + ACTIONS(537), 11, aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(353), 46, + ACTIONS(535), 51, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, aux_sym_pg_command_token1, aux_sym_null_hint_token3, anon_sym_EQ, - anon_sym_COMMA, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, + aux_sym_grant_statement_token8, + aux_sym_grant_statement_token12, aux_sym_auto_increment_constraint_token1, aux_sym_direction_constraint_token1, aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, anon_sym_CONSTRAINT, aux_sym_table_constraint_check_token1, aux_sym_table_constraint_unique_token1, aux_sym_table_constraint_primary_key_token1, - aux_sym_where_clause_token1, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -19091,370 +30071,315 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [5821] = 10, + [6269] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(315), 1, - anon_sym_CARET, - ACTIONS(347), 1, + ACTIONS(195), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(351), 1, + anon_sym_TILDE, anon_sym_SLASH, - ACTIONS(359), 2, - aux_sym_sequence_token5, - aux_sym_create_function_parameter_token1, - ACTIONS(345), 3, - anon_sym_PLUS, - anon_sym_PIPE, anon_sym_POUND, - ACTIONS(363), 4, - anon_sym_TILDE, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(349), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(361), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(357), 31, + ACTIONS(191), 52, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, aux_sym_pg_command_token1, aux_sym_null_hint_token3, - anon_sym_COMMA, + anon_sym_EQ, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, + aux_sym_grant_statement_token8, aux_sym_auto_increment_constraint_token1, aux_sym_direction_constraint_token1, aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, anon_sym_CONSTRAINT, aux_sym_table_constraint_check_token1, aux_sym_table_constraint_unique_token1, aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, + aux_sym_from_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, - [5897] = 11, - ACTIONS(3), 1, - sym_comment, - ACTIONS(315), 1, - anon_sym_CARET, - ACTIONS(347), 1, - anon_sym_DASH, - ACTIONS(351), 1, - anon_sym_SLASH, - ACTIONS(365), 1, - aux_sym_boolean_expression_token1, - ACTIONS(359), 2, - aux_sym_sequence_token5, - aux_sym_create_function_parameter_token1, - ACTIONS(345), 3, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(363), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(349), 5, + anon_sym_CARET, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - ACTIONS(361), 6, - anon_sym_EQ, + anon_sym_PIPE, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(357), 30, + [6339] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(270), 23, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(272), 39, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - aux_sym_null_hint_token3, - anon_sym_COMMA, + aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, - [5975] = 6, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [6409] = 15, ACTIONS(3), 1, sym_comment, - ACTIONS(315), 1, + ACTIONS(354), 1, + aux_sym_cte_token2, + ACTIONS(356), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(360), 1, + aux_sym_is_expression_token1, + ACTIONS(362), 1, + aux_sym_boolean_expression_token1, + ACTIONS(366), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(384), 1, anon_sym_CARET, - ACTIONS(351), 1, + ACTIONS(388), 1, anon_sym_SLASH, - ACTIONS(349), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(313), 7, - aux_sym_sequence_token5, - aux_sym_create_function_parameter_token1, + ACTIONS(378), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(380), 2, anon_sym_DASH, + anon_sym_POUND, + ACTIONS(382), 4, anon_sym_TILDE, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(311), 40, + ACTIONS(386), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(358), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(589), 8, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(591), 28, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - aux_sym_null_hint_token3, - anon_sym_EQ, - anon_sym_COMMA, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [6043] = 3, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + [6503] = 14, ACTIONS(3), 1, sym_comment, - ACTIONS(313), 8, - aux_sym_sequence_token5, + ACTIONS(354), 1, + aux_sym_cte_token2, + ACTIONS(356), 1, aux_sym_create_function_parameter_token1, + ACTIONS(360), 1, + aux_sym_is_expression_token1, + ACTIONS(366), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(384), 1, + anon_sym_CARET, + ACTIONS(388), 1, + anon_sym_SLASH, + ACTIONS(378), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(380), 2, anon_sym_DASH, + anon_sym_POUND, + ACTIONS(382), 4, anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(311), 46, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - aux_sym_null_hint_token3, - anon_sym_EQ, - anon_sym_COMMA, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - aux_sym_where_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, + ACTIONS(386), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(358), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [6105] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(77), 9, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DOT, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(75), 45, + ACTIONS(589), 8, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(591), 29, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, - anon_sym_LPAREN, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, + aux_sym_from_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, aux_sym_join_type_token3, aux_sym_join_type_token4, aux_sym_join_clause_token1, - aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, - anon_sym_DASH_GT_GT, - anon_sym_LBRACK, - anon_sym_COLON_COLON, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [6167] = 3, + aux_sym_boolean_expression_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + [6595] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(369), 8, - aux_sym_sequence_token5, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(367), 46, + ACTIONS(593), 22, ts_builtin_sym_end, anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, + anon_sym_COMMA, aux_sym_pg_command_token1, - aux_sym_null_hint_token3, anon_sym_EQ, - anon_sym_COMMA, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - aux_sym_where_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -19463,116 +30388,106 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [6229] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(323), 8, - aux_sym_sequence_token5, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(321), 46, - ts_builtin_sym_end, - anon_sym_SEMI, + ACTIONS(595), 40, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - aux_sym_null_hint_token3, - anon_sym_EQ, - anon_sym_COMMA, + aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [6291] = 3, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [6665] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(319), 8, - aux_sym_sequence_token5, + ACTIONS(83), 10, aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(317), 46, + ACTIONS(81), 52, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, aux_sym_pg_command_token1, aux_sym_null_hint_token3, anon_sym_EQ, - anon_sym_COMMA, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, + aux_sym_grant_statement_token8, aux_sym_auto_increment_constraint_token1, aux_sym_direction_constraint_token1, aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, anon_sym_CONSTRAINT, aux_sym_table_constraint_check_token1, aux_sym_table_constraint_unique_token1, aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, + aux_sym_from_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -19581,57 +30496,25 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [6353] = 3, + [6735] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(373), 8, - aux_sym_sequence_token5, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(371), 46, + ACTIONS(250), 23, ts_builtin_sym_end, anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, + anon_sym_COMMA, aux_sym_pg_command_token1, - aux_sym_null_hint_token3, anon_sym_EQ, - anon_sym_COMMA, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - aux_sym_where_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -19640,83 +30523,65 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [6415] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(299), 8, - aux_sym_sequence_token5, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(297), 46, - ts_builtin_sym_end, - anon_sym_SEMI, + ACTIONS(252), 39, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - aux_sym_null_hint_token3, - anon_sym_EQ, - anon_sym_COMMA, + aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [6477] = 3, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [6805] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(205), 22, + ACTIONS(254), 23, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, - anon_sym_COLON_COLON, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -19725,29 +30590,31 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(207), 32, + ACTIONS(256), 39, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, @@ -19757,58 +30624,31 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [6539] = 3, + [6875] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(377), 8, - aux_sym_sequence_token5, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(375), 46, + ACTIONS(258), 23, ts_builtin_sym_end, anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, + anon_sym_COMMA, aux_sym_pg_command_token1, - aux_sym_null_hint_token3, anon_sym_EQ, - anon_sym_COMMA, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - aux_sym_where_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -19817,154 +30657,137 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [6601] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(381), 8, - aux_sym_sequence_token5, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(379), 46, - ts_builtin_sym_end, - anon_sym_SEMI, + ACTIONS(260), 39, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - aux_sym_null_hint_token3, - anon_sym_EQ, - anon_sym_COMMA, + aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [6663] = 15, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [6945] = 9, ACTIONS(3), 1, sym_comment, - ACTIONS(315), 1, + ACTIONS(366), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(384), 1, anon_sym_CARET, - ACTIONS(347), 1, - anon_sym_DASH, - ACTIONS(351), 1, + ACTIONS(388), 1, anon_sym_SLASH, - ACTIONS(365), 1, - aux_sym_boolean_expression_token1, - ACTIONS(385), 1, - aux_sym_sequence_token2, - ACTIONS(387), 1, - aux_sym_sequence_token5, - ACTIONS(389), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(391), 1, - aux_sym_is_expression_token1, - ACTIONS(393), 1, - aux_sym_boolean_expression_token2, - ACTIONS(345), 3, + ACTIONS(378), 2, anon_sym_PLUS, anon_sym_PIPE, + ACTIONS(380), 2, + anon_sym_DASH, anon_sym_POUND, - ACTIONS(363), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(349), 5, + ACTIONS(386), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - ACTIONS(361), 6, + ACTIONS(581), 14, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(383), 27, - ts_builtin_sym_end, - anon_sym_SEMI, + ACTIONS(583), 36, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_pg_command_token1, - aux_sym_null_hint_token3, - anon_sym_COMMA, + aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, - [6749] = 3, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [7027] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(181), 22, + ACTIONS(597), 22, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, - anon_sym_LBRACK, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -19973,30 +30796,33 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(183), 32, + ACTIONS(599), 40, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, + aux_sym_from_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, aux_sym_join_type_token3, @@ -20005,84 +30831,31 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [6811] = 3, + [7097] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(339), 8, - aux_sym_sequence_token5, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(337), 46, + ACTIONS(209), 23, ts_builtin_sym_end, anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, + anon_sym_COMMA, aux_sym_pg_command_token1, - aux_sym_null_hint_token3, anon_sym_EQ, - anon_sym_COMMA, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - aux_sym_where_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [6873] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(247), 22, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_pg_command_token1, - anon_sym_EQ, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, - anon_sym_COLON_COLON, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -20091,29 +30864,31 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(249), 32, + ACTIONS(213), 39, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, @@ -20123,26 +30898,31 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [6935] = 4, + [7167] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(395), 1, - anon_sym_LBRACK, - ACTIONS(191), 21, + ACTIONS(246), 23, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -20151,29 +30931,31 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(193), 32, + ACTIONS(248), 39, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, @@ -20183,24 +30965,30 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [6999] = 3, + [7237] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(371), 21, + ACTIONS(601), 22, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -20209,29 +30997,31 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(373), 33, + ACTIONS(603), 40, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_from_clause_token1, aux_sym_join_type_token1, @@ -20242,25 +31032,31 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [7061] = 3, + [7307] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(197), 22, + ACTIONS(191), 23, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, - anon_sym_COLON_COLON, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -20269,29 +31065,31 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(199), 32, + ACTIONS(195), 39, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, @@ -20301,24 +31099,30 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [7123] = 3, + [7377] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(301), 22, + ACTIONS(291), 23, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, @@ -20328,29 +31132,31 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(303), 32, + ACTIONS(293), 39, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, @@ -20360,24 +31166,98 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [7185] = 3, + [7447] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(265), 21, + ACTIONS(260), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(258), 52, ts_builtin_sym_end, anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_alter_column_token3, aux_sym_pg_command_token1, + aux_sym_null_hint_token3, anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [7517] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(238), 23, + ts_builtin_sym_end, + anon_sym_SEMI, anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, anon_sym_BQUOTE, anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -20386,31 +31266,32 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(267), 33, + ACTIONS(242), 39, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, - aux_sym_from_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, aux_sym_join_type_token3, @@ -20419,58 +31300,71 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [7247] = 3, + [7587] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(399), 8, - aux_sym_sequence_token5, + ACTIONS(286), 10, aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(397), 46, + ACTIONS(284), 52, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, aux_sym_pg_command_token1, aux_sym_null_hint_token3, anon_sym_EQ, - anon_sym_COMMA, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, + aux_sym_grant_statement_token8, aux_sym_auto_increment_constraint_token1, aux_sym_direction_constraint_token1, aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, anon_sym_CONSTRAINT, aux_sym_table_constraint_check_token1, aux_sym_table_constraint_unique_token1, aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, + aux_sym_from_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -20479,23 +31373,25 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [7309] = 3, + [7657] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(367), 21, + ACTIONS(539), 23, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -20504,31 +31400,32 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(369), 33, + ACTIONS(541), 39, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, - aux_sym_from_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, aux_sym_join_type_token3, @@ -20537,26 +31434,31 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [7371] = 4, + [7727] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(124), 1, - anon_sym_LBRACK, - ACTIONS(265), 21, + ACTIONS(280), 23, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -20565,29 +31467,31 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(267), 32, + ACTIONS(282), 39, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, @@ -20597,24 +31501,98 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [7435] = 3, + [7797] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(379), 21, + ACTIONS(213), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(209), 52, ts_builtin_sym_end, anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_alter_column_token3, aux_sym_pg_command_token1, + aux_sym_null_hint_token3, anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [7867] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(88), 23, + ts_builtin_sym_end, + anon_sym_SEMI, anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, anon_sym_BQUOTE, anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -20623,31 +31601,32 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(381), 33, + ACTIONS(90), 39, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, - aux_sym_from_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, aux_sym_join_type_token3, @@ -20656,26 +31635,71 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [7497] = 4, + [7937] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(401), 1, - anon_sym_DOT_STAR, - ACTIONS(265), 21, + ACTIONS(252), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(250), 52, ts_builtin_sym_end, anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_alter_column_token3, aux_sym_pg_command_token1, + aux_sym_null_hint_token3, anon_sym_EQ, - anon_sym_COMMA, - anon_sym_BQUOTE, - anon_sym_DQUOTE, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -20684,90 +31708,127 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(267), 32, + [8007] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(309), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(307), 52, + ts_builtin_sym_end, + anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_pg_command_token1, + aux_sym_null_hint_token3, + anon_sym_EQ, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, + aux_sym_from_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [7561] = 4, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [8077] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(239), 1, - anon_sym_CARET, - ACTIONS(311), 20, + ACTIONS(189), 1, + anon_sym_LBRACK, + ACTIONS(338), 22, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, + anon_sym_CARET, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(313), 33, + ACTIONS(340), 39, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, - aux_sym_from_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, aux_sym_join_type_token3, @@ -20776,24 +31837,30 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [7625] = 3, + [8149] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(311), 21, + ACTIONS(605), 22, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -20802,29 +31869,31 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(313), 33, + ACTIONS(607), 40, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_from_clause_token1, aux_sym_join_type_token1, @@ -20835,59 +31904,63 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [7687] = 6, + [8219] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(239), 1, - anon_sym_CARET, - ACTIONS(243), 1, - anon_sym_SLASH, - ACTIONS(241), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(311), 15, + ACTIONS(609), 22, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(313), 32, + ACTIONS(611), 40, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_from_clause_token1, aux_sym_join_type_token1, @@ -20898,23 +31971,30 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [7755] = 3, + [8289] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(403), 21, + ACTIONS(338), 22, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -20923,29 +32003,31 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(405), 33, + ACTIONS(340), 40, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_from_clause_token1, aux_sym_join_type_token1, @@ -20956,70 +32038,63 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [7817] = 11, + [8359] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(223), 1, - aux_sym_boolean_expression_token1, - ACTIONS(235), 1, - anon_sym_DASH, - ACTIONS(239), 1, - anon_sym_CARET, - ACTIONS(243), 1, - anon_sym_SLASH, - ACTIONS(233), 3, + ACTIONS(613), 22, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(237), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(241), 5, + anon_sym_CARET, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - ACTIONS(219), 6, - anon_sym_EQ, + anon_sym_PIPE, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(357), 6, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_pg_command_token1, - anon_sym_COMMA, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - ACTIONS(359), 26, + ACTIONS(615), 40, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_from_clause_token1, aux_sym_join_type_token1, @@ -21028,63 +32103,89 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_join_type_token4, aux_sym_join_clause_token1, aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - [7895] = 10, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [8429] = 16, ACTIONS(3), 1, sym_comment, - ACTIONS(235), 1, - anon_sym_DASH, - ACTIONS(239), 1, + ACTIONS(354), 1, + aux_sym_cte_token2, + ACTIONS(356), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(360), 1, + aux_sym_is_expression_token1, + ACTIONS(362), 1, + aux_sym_boolean_expression_token1, + ACTIONS(364), 1, + aux_sym_boolean_expression_token2, + ACTIONS(366), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(384), 1, anon_sym_CARET, - ACTIONS(243), 1, + ACTIONS(388), 1, anon_sym_SLASH, - ACTIONS(233), 3, + ACTIONS(378), 2, anon_sym_PLUS, anon_sym_PIPE, + ACTIONS(380), 2, + anon_sym_DASH, anon_sym_POUND, - ACTIONS(237), 4, + ACTIONS(382), 4, anon_sym_TILDE, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(241), 5, + ACTIONS(386), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - ACTIONS(219), 6, + ACTIONS(358), 6, anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(357), 6, + ACTIONS(617), 8, ts_builtin_sym_end, anon_sym_SEMI, - aux_sym_pg_command_token1, anon_sym_COMMA, + aux_sym_pg_command_token1, anon_sym_BQUOTE, anon_sym_DQUOTE, - ACTIONS(359), 27, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(619), 27, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_from_clause_token1, aux_sym_join_type_token1, @@ -21092,52 +32193,122 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_join_type_token3, aux_sym_join_type_token4, aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, sym__unquoted_identifier, - [7971] = 3, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + [8525] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(353), 21, + ACTIONS(457), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(455), 51, ts_builtin_sym_end, anon_sym_SEMI, - aux_sym_pg_command_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(355), 33, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_pg_command_token1, + aux_sym_null_hint_token3, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token8, + aux_sym_grant_statement_token12, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [8595] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(621), 22, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(623), 40, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_from_clause_token1, aux_sym_join_type_token1, @@ -21148,62 +32319,197 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [8033] = 8, + [8665] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(235), 1, + ACTIONS(272), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(239), 1, - anon_sym_CARET, - ACTIONS(243), 1, + anon_sym_TILDE, anon_sym_SLASH, - ACTIONS(233), 3, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(270), 52, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_pg_command_token1, + aux_sym_null_hint_token3, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [8735] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(94), 12, + aux_sym_with_clause_token1, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, anon_sym_POUND, - ACTIONS(241), 5, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(92), 50, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_cte_token2, + anon_sym_LPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DOT, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - ACTIONS(311), 12, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [8805] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(625), 22, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(313), 31, + ACTIONS(627), 40, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_from_clause_token1, aux_sym_join_type_token1, @@ -21214,22 +32520,30 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [8105] = 3, + [8875] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(407), 21, + ACTIONS(629), 22, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -21238,29 +32552,31 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(409), 33, + ACTIONS(631), 40, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_from_clause_token1, aux_sym_join_type_token1, @@ -21271,24 +32587,30 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [8167] = 3, + [8945] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(411), 21, + ACTIONS(633), 22, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -21297,29 +32619,31 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(413), 33, + ACTIONS(635), 40, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_from_clause_token1, aux_sym_join_type_token1, @@ -21330,58 +32654,71 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [8229] = 3, + [9015] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(413), 8, - aux_sym_sequence_token5, + ACTIONS(453), 11, aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(411), 46, + ACTIONS(451), 51, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, aux_sym_pg_command_token1, aux_sym_null_hint_token3, anon_sym_EQ, - anon_sym_COMMA, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, + aux_sym_grant_statement_token8, + aux_sym_grant_statement_token12, aux_sym_auto_increment_constraint_token1, aux_sym_direction_constraint_token1, aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, anon_sym_CONSTRAINT, aux_sym_table_constraint_check_token1, aux_sym_table_constraint_unique_token1, aux_sym_table_constraint_primary_key_token1, - aux_sym_where_clause_token1, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -21390,23 +32727,26 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [8291] = 3, + [9085] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(341), 21, + ACTIONS(447), 1, + anon_sym_COLON_COLON, + ACTIONS(338), 22, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -21415,31 +32755,32 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(343), 33, + ACTIONS(340), 39, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, - aux_sym_from_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, aux_sym_join_type_token3, @@ -21448,95 +32789,30 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [8353] = 15, - ACTIONS(3), 1, - sym_comment, - ACTIONS(213), 1, - aux_sym_sequence_token2, - ACTIONS(217), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(221), 1, - aux_sym_is_expression_token1, - ACTIONS(223), 1, - aux_sym_boolean_expression_token1, - ACTIONS(225), 1, - aux_sym_boolean_expression_token2, - ACTIONS(235), 1, - anon_sym_DASH, - ACTIONS(239), 1, - anon_sym_CARET, - ACTIONS(243), 1, - anon_sym_SLASH, - ACTIONS(233), 3, - anon_sym_PLUS, - anon_sym_PIPE, anon_sym_POUND, - ACTIONS(237), 4, - anon_sym_TILDE, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(241), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(219), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(383), 6, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_pg_command_token1, - anon_sym_COMMA, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - ACTIONS(387), 22, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token3, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - sym__unquoted_identifier, - [8439] = 3, + [9157] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(333), 21, + ACTIONS(637), 22, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -21545,29 +32821,31 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(335), 33, + ACTIONS(639), 40, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_from_clause_token1, aux_sym_join_type_token1, @@ -21578,118 +32856,186 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [8501] = 4, + [9227] = 16, ACTIONS(3), 1, sym_comment, - ACTIONS(126), 1, - anon_sym_COLON_COLON, - ACTIONS(265), 21, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_pg_command_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, + ACTIONS(417), 1, + aux_sym_cte_token2, + ACTIONS(419), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(423), 1, + aux_sym_is_expression_token1, + ACTIONS(425), 1, + aux_sym_boolean_expression_token1, + ACTIONS(427), 1, + aux_sym_boolean_expression_token2, + ACTIONS(429), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(441), 1, anon_sym_CARET, + ACTIONS(445), 1, + anon_sym_SLASH, + ACTIONS(435), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(437), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(439), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(443), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(421), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(267), 32, + ACTIONS(617), 8, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(619), 26, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, aux_sym_join_type_token3, aux_sym_join_type_token4, aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [8565] = 3, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + [9322] = 14, ACTIONS(3), 1, sym_comment, - ACTIONS(409), 8, - aux_sym_sequence_token5, + ACTIONS(641), 1, + aux_sym_cte_token2, + ACTIONS(643), 1, aux_sym_create_function_parameter_token1, + ACTIONS(647), 1, + aux_sym_is_expression_token1, + ACTIONS(649), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(657), 1, + anon_sym_CARET, + ACTIONS(661), 1, + anon_sym_SLASH, + ACTIONS(591), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(651), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(653), 2, anon_sym_DASH, + anon_sym_POUND, + ACTIONS(655), 4, anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(407), 46, + ACTIONS(659), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(645), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(589), 34, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, aux_sym_pg_command_token1, aux_sym_null_hint_token3, - anon_sym_EQ, - anon_sym_COMMA, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, + aux_sym_grant_statement_token8, aux_sym_auto_increment_constraint_token1, aux_sym_direction_constraint_token1, aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, anon_sym_CONSTRAINT, aux_sym_table_constraint_check_token1, aux_sym_table_constraint_unique_token1, aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, - aux_sym_is_expression_token1, + aux_sym_from_clause_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + [9413] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(295), 22, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -21698,83 +33044,64 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [8627] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(405), 8, - aux_sym_sequence_token5, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(403), 46, - ts_builtin_sym_end, - anon_sym_SEMI, + ACTIONS(297), 39, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - aux_sym_null_hint_token3, - anon_sym_EQ, - anon_sym_COMMA, + aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [8689] = 3, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [9482] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(71), 22, + ACTIONS(633), 22, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, - anon_sym_LBRACK, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -21783,29 +33110,31 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(73), 32, + ACTIONS(635), 39, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, @@ -21815,24 +33144,30 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [8751] = 3, + [9551] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(325), 21, + ACTIONS(629), 22, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -21841,31 +33176,32 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(327), 33, + ACTIONS(631), 39, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, - aux_sym_from_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, aux_sym_join_type_token3, @@ -21874,24 +33210,30 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [8813] = 3, + [9620] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(397), 21, + ACTIONS(314), 22, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -21900,31 +33242,32 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(399), 33, + ACTIONS(316), 39, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, - aux_sym_from_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, aux_sym_join_type_token3, @@ -21933,24 +33276,30 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [8875] = 3, + [9689] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(329), 21, + ACTIONS(625), 22, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -21959,31 +33308,32 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(331), 33, + ACTIONS(627), 39, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, - aux_sym_from_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, aux_sym_join_type_token3, @@ -21992,142 +33342,30 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [8937] = 3, + [9758] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(267), 8, - aux_sym_sequence_token5, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(265), 46, + ACTIONS(338), 22, ts_builtin_sym_end, anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - aux_sym_null_hint_token3, - anon_sym_EQ, anon_sym_COMMA, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - aux_sym_where_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [8999] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(69), 9, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DOT, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(67), 45, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, - anon_sym_LPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_DASH_GT_GT, - anon_sym_LBRACK, - anon_sym_COLON_COLON, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [9061] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(375), 21, - ts_builtin_sym_end, - anon_sym_SEMI, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -22136,31 +33374,32 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(377), 33, + ACTIONS(340), 39, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, - aux_sym_from_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, aux_sym_join_type_token3, @@ -22169,24 +33408,30 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [9123] = 3, + [9827] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(337), 21, + ACTIONS(593), 22, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -22195,29 +33440,31 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(339), 32, + ACTIONS(595), 39, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, @@ -22227,62 +33474,56 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [9184] = 8, + [9896] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(285), 1, + ACTIONS(157), 12, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(289), 1, - anon_sym_CARET, - ACTIONS(293), 1, + anon_sym_TILDE, anon_sym_SLASH, - ACTIONS(283), 3, - anon_sym_PLUS, - anon_sym_PIPE, anon_sym_POUND, - ACTIONS(291), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(311), 12, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(155), 49, ts_builtin_sym_end, anon_sym_SEMI, - aux_sym_pg_command_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(313), 30, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + anon_sym_LPAREN, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, + aux_sym_pg_command_token1, + anon_sym_EQ, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, @@ -22291,108 +33532,137 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [9965] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(133), 12, + aux_sym_create_function_parameter_token1, aux_sym_boolean_expression_token2, - sym__unquoted_identifier, + anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [9255] = 5, - ACTIONS(3), 1, - sym_comment, - ACTIONS(87), 1, - aux_sym_sequence_token8, - ACTIONS(415), 1, - anon_sym_DOT, - STATE(146), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(85), 50, + ACTIONS(131), 49, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + anon_sym_LPAREN, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_add_token1, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_sequence_token4, - aux_sym_sequence_token5, - aux_sym_sequence_token6, - aux_sym_sequence_token11, - aux_sym_sequence_token12, aux_sym_pg_command_token1, - aux_sym_create_function_statement_token3, - aux_sym_optimizer_hint_token1, - aux_sym_optimizer_hint_token2, - aux_sym_optimizer_hint_token3, - aux_sym_parallel_hint_token1, - aux_sym_parallel_hint_token2, - aux_sym_parallel_hint_token3, - aux_sym_parallel_hint_token4, - aux_sym_null_hint_token1, - aux_sym_null_hint_token2, - aux_sym_null_hint_token3, - aux_sym_null_hint_token5, - aux_sym__function_language_token1, anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, - anon_sym_RPAREN, aux_sym_drop_statement_token1, - aux_sym_set_statement_token3, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_exclude_token1, - aux_sym_table_constraint_exclude_token2, - aux_sym_table_constraint_foreign_key_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - aux_sym_values_clause_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_LBRACK, - [9320] = 5, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [10034] = 8, ACTIONS(3), 1, sym_comment, - ACTIONS(417), 1, - anon_sym_DOT, - STATE(143), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(111), 8, + ACTIONS(663), 1, + aux_sym_with_clause_token1, + ACTIONS(665), 1, + anon_sym_LPAREN, + ACTIONS(667), 1, + aux_sym_type_token1, + ACTIONS(669), 1, + aux_sym_type_token2, + ACTIONS(671), 1, + aux_sym_type_token3, + ACTIONS(105), 11, aux_sym_create_function_parameter_token1, aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(109), 43, + ACTIONS(99), 45, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_LPAREN, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, @@ -22401,6 +33671,9 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, @@ -22410,55 +33683,67 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [9385] = 3, + [10113] = 9, ACTIONS(3), 1, sym_comment, - ACTIONS(367), 21, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_pg_command_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, + ACTIONS(429), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(441), 1, anon_sym_CARET, + ACTIONS(445), 1, + anon_sym_SLASH, + ACTIONS(435), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(437), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(443), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(581), 14, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(369), 32, + ACTIONS(583), 35, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, @@ -22469,57 +33754,65 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, sym__unquoted_identifier, - anon_sym_DASH, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [9446] = 5, + [10194] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(257), 1, - anon_sym_DOT, - STATE(143), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(81), 8, + ACTIONS(297), 10, aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(79), 43, + ACTIONS(295), 51, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, + aux_sym_alter_table_action_alter_column_token3, aux_sym_pg_command_token1, + aux_sym_null_hint_token3, anon_sym_EQ, - anon_sym_LPAREN, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, + aux_sym_from_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, - anon_sym_LBRACK, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -22528,154 +33821,132 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [9511] = 5, + [10263] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(81), 1, - aux_sym_sequence_token8, - ACTIONS(415), 1, - anon_sym_DOT, - STATE(156), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(79), 50, + ACTIONS(649), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(557), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(555), 50, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_add_token1, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_sequence_token4, - aux_sym_sequence_token5, - aux_sym_sequence_token6, - aux_sym_sequence_token11, - aux_sym_sequence_token12, + aux_sym_alter_table_action_alter_column_token3, aux_sym_pg_command_token1, - aux_sym_create_function_statement_token3, - aux_sym_optimizer_hint_token1, - aux_sym_optimizer_hint_token2, - aux_sym_optimizer_hint_token3, - aux_sym_parallel_hint_token1, - aux_sym_parallel_hint_token2, - aux_sym_parallel_hint_token3, - aux_sym_parallel_hint_token4, - aux_sym_null_hint_token1, - aux_sym_null_hint_token2, aux_sym_null_hint_token3, - aux_sym_null_hint_token5, - aux_sym__function_language_token1, anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, - anon_sym_RPAREN, aux_sym_drop_statement_token1, - aux_sym_set_statement_token3, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_exclude_token1, - aux_sym_table_constraint_exclude_token2, - aux_sym_table_constraint_foreign_key_token1, aux_sym_table_constraint_unique_token1, aux_sym_table_constraint_primary_key_token1, - aux_sym_values_clause_token1, - anon_sym_LBRACK, - [9576] = 15, - ACTIONS(3), 1, - sym_comment, - ACTIONS(271), 1, - aux_sym_sequence_token2, - ACTIONS(273), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(277), 1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, aux_sym_is_expression_token1, - ACTIONS(279), 1, aux_sym_boolean_expression_token1, - ACTIONS(281), 1, aux_sym_boolean_expression_token2, - ACTIONS(285), 1, - anon_sym_DASH, - ACTIONS(289), 1, - anon_sym_CARET, - ACTIONS(293), 1, - anon_sym_SLASH, - ACTIONS(283), 3, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(287), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(291), 5, + anon_sym_CARET, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - ACTIONS(275), 6, - anon_sym_EQ, + anon_sym_PIPE, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(383), 6, + [10334] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(649), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(561), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(559), 50, ts_builtin_sym_end, anon_sym_SEMI, - aux_sym_pg_command_token1, - anon_sym_COMMA, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - ACTIONS(387), 21, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token3, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_pg_command_token1, + aux_sym_null_hint_token3, + anon_sym_EQ, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - sym__unquoted_identifier, - [9661] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(379), 21, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_pg_command_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_BQUOTE, - anon_sym_DQUOTE, + aux_sym_from_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -22684,56 +33955,64 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(381), 32, + [10405] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(565), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(563), 51, + ts_builtin_sym_end, + anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_pg_command_token1, + aux_sym_null_hint_token3, + anon_sym_EQ, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, + aux_sym_from_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [9722] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(371), 21, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_pg_command_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_BQUOTE, - anon_sym_DQUOTE, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -22742,56 +34021,64 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(373), 32, + [10474] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(573), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(571), 51, + ts_builtin_sym_end, + anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_pg_command_token1, + aux_sym_null_hint_token3, + anon_sym_EQ, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, + aux_sym_from_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [9783] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(317), 21, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_pg_command_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_BQUOTE, - anon_sym_DQUOTE, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -22800,56 +34087,24 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(319), 32, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [9844] = 3, + [10543] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(321), 21, + ACTIONS(597), 22, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -22858,29 +34113,31 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(323), 32, + ACTIONS(599), 39, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, @@ -22890,56 +34147,63 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [9905] = 4, + [10612] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(289), 1, - anon_sym_CARET, - ACTIONS(311), 20, + ACTIONS(637), 22, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, + anon_sym_CARET, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(313), 32, + ACTIONS(639), 39, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, @@ -22949,24 +34213,30 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [9968] = 3, + [10681] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(311), 21, + ACTIONS(613), 22, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -22975,29 +34245,31 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(313), 32, + ACTIONS(615), 39, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, @@ -23007,276 +34279,228 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [10029] = 6, + [10750] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(289), 1, - anon_sym_CARET, - ACTIONS(293), 1, + ACTIONS(316), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, anon_sym_SLASH, - ACTIONS(291), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(311), 15, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(314), 51, ts_builtin_sym_end, anon_sym_SEMI, - aux_sym_pg_command_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(313), 31, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_pg_command_token1, + aux_sym_null_hint_token3, + anon_sym_EQ, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, + aux_sym_from_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [10096] = 11, - ACTIONS(3), 1, - sym_comment, - ACTIONS(279), 1, - aux_sym_boolean_expression_token1, - ACTIONS(285), 1, - anon_sym_DASH, - ACTIONS(289), 1, - anon_sym_CARET, - ACTIONS(293), 1, - anon_sym_SLASH, - ACTIONS(283), 3, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(287), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(291), 5, + anon_sym_CARET, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - ACTIONS(275), 6, - anon_sym_EQ, + anon_sym_PIPE, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(357), 6, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_pg_command_token1, - anon_sym_COMMA, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - ACTIONS(359), 25, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - [10173] = 5, + [10819] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(111), 1, - aux_sym_sequence_token8, - ACTIONS(420), 1, - anon_sym_DOT, - STATE(156), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(109), 50, + ACTIONS(615), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(613), 51, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_add_token1, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_sequence_token4, - aux_sym_sequence_token5, - aux_sym_sequence_token6, - aux_sym_sequence_token11, - aux_sym_sequence_token12, + aux_sym_alter_table_action_alter_column_token3, aux_sym_pg_command_token1, - aux_sym_create_function_statement_token3, - aux_sym_optimizer_hint_token1, - aux_sym_optimizer_hint_token2, - aux_sym_optimizer_hint_token3, - aux_sym_parallel_hint_token1, - aux_sym_parallel_hint_token2, - aux_sym_parallel_hint_token3, - aux_sym_parallel_hint_token4, - aux_sym_null_hint_token1, - aux_sym_null_hint_token2, aux_sym_null_hint_token3, - aux_sym_null_hint_token5, - aux_sym__function_language_token1, anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, - anon_sym_RPAREN, aux_sym_drop_statement_token1, - aux_sym_set_statement_token3, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_exclude_token1, - aux_sym_table_constraint_exclude_token2, - aux_sym_table_constraint_foreign_key_token1, aux_sym_table_constraint_unique_token1, aux_sym_table_constraint_primary_key_token1, - aux_sym_values_clause_token1, - anon_sym_LBRACK, - [10238] = 10, - ACTIONS(3), 1, - sym_comment, - ACTIONS(285), 1, - anon_sym_DASH, - ACTIONS(289), 1, - anon_sym_CARET, - ACTIONS(293), 1, - anon_sym_SLASH, - ACTIONS(283), 3, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(287), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(291), 5, + anon_sym_CARET, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - ACTIONS(275), 6, - anon_sym_EQ, + anon_sym_PIPE, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(357), 6, + [10888] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(639), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(637), 51, ts_builtin_sym_end, anon_sym_SEMI, - aux_sym_pg_command_token1, - anon_sym_COMMA, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - ACTIONS(359), 26, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_pg_command_token1, + aux_sym_null_hint_token3, + anon_sym_EQ, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, + aux_sym_from_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - [10313] = 3, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [10957] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(353), 21, + ACTIONS(571), 22, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -23285,29 +34509,31 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(355), 32, + ACTIONS(573), 39, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, @@ -23317,24 +34543,70 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [10374] = 3, + [11026] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(297), 21, + ACTIONS(599), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(597), 51, ts_builtin_sym_end, anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_alter_column_token3, aux_sym_pg_command_token1, + aux_sym_null_hint_token3, anon_sym_EQ, - anon_sym_COMMA, - anon_sym_BQUOTE, - anon_sym_DQUOTE, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -23343,56 +34615,137 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(299), 32, + [11095] = 9, + ACTIONS(3), 1, + sym_comment, + ACTIONS(649), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(657), 1, + anon_sym_CARET, + ACTIONS(661), 1, + anon_sym_SLASH, + ACTIONS(651), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(653), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(659), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(583), 7, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(581), 42, + ts_builtin_sym_end, + anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_pg_command_token1, + aux_sym_null_hint_token3, + anon_sym_EQ, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, + aux_sym_from_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, - sym__unquoted_identifier, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [11176] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(673), 1, + anon_sym_DOT, + STATE(242), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(94), 10, + aux_sym_with_clause_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [10435] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(341), 21, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_pg_command_token1, - anon_sym_EQ, + ACTIONS(92), 49, anon_sym_COMMA, - anon_sym_BQUOTE, - anon_sym_DQUOTE, + aux_sym_cte_token2, + anon_sym_LPAREN, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, + anon_sym_RBRACK, + anon_sym_COLON_COLON, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -23401,56 +34754,65 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(343), 32, + anon_sym_DOT_STAR, + [11249] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(595), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(593), 51, + ts_builtin_sym_end, + anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_pg_command_token1, + aux_sym_null_hint_token3, + anon_sym_EQ, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, + aux_sym_from_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [10496] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(333), 21, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_pg_command_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_BQUOTE, - anon_sym_DQUOTE, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -23459,172 +34821,142 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(335), 32, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, + [11318] = 15, + ACTIONS(3), 1, + sym_comment, + ACTIONS(641), 1, + aux_sym_cte_token2, + ACTIONS(643), 1, aux_sym_create_function_parameter_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, + ACTIONS(647), 1, aux_sym_is_expression_token1, + ACTIONS(649), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(657), 1, + anon_sym_CARET, + ACTIONS(661), 1, + anon_sym_SLASH, + ACTIONS(676), 1, aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, + ACTIONS(591), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(651), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(653), 2, anon_sym_DASH, + anon_sym_POUND, + ACTIONS(655), 4, anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [10557] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(375), 21, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_pg_command_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, + ACTIONS(659), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(645), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(377), 32, + ACTIONS(589), 33, + ts_builtin_sym_end, + anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_pg_command_token1, + aux_sym_null_hint_token3, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, + aux_sym_from_clause_token1, aux_sym_boolean_expression_token2, - sym__unquoted_identifier, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + [11411] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(587), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [10618] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(397), 21, + ACTIONS(585), 51, ts_builtin_sym_end, anon_sym_SEMI, - aux_sym_pg_command_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(399), 32, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_pg_command_token1, + aux_sym_null_hint_token3, + anon_sym_EQ, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, + aux_sym_from_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [10679] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(411), 21, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_pg_command_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_BQUOTE, - anon_sym_DQUOTE, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -23633,56 +34965,135 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(413), 32, + [11480] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(649), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(657), 1, + anon_sym_CARET, + ACTIONS(661), 1, + anon_sym_SLASH, + ACTIONS(659), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(583), 9, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(581), 44, + ts_builtin_sym_end, + anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_pg_command_token1, + aux_sym_null_hint_token3, + anon_sym_EQ, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, + aux_sym_from_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, - sym__unquoted_identifier, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [11557] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(649), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(583), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [10740] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(407), 21, + ACTIONS(581), 50, ts_builtin_sym_end, anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_alter_column_token3, aux_sym_pg_command_token1, + aux_sym_null_hint_token3, anon_sym_EQ, - anon_sym_COMMA, - anon_sym_BQUOTE, - anon_sym_DQUOTE, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -23691,114 +35102,132 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(409), 32, + [11628] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(649), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(657), 1, + anon_sym_CARET, + ACTIONS(583), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(581), 49, + ts_builtin_sym_end, + anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_pg_command_token1, + aux_sym_null_hint_token3, + anon_sym_EQ, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, + aux_sym_from_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [10801] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(403), 21, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_pg_command_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_BQUOTE, - anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_CARET, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(405), 32, + [11701] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(553), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(551), 51, + ts_builtin_sym_end, + anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_pg_command_token1, + aux_sym_null_hint_token3, + anon_sym_EQ, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, + aux_sym_from_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [10862] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(265), 21, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_pg_command_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_BQUOTE, - anon_sym_DQUOTE, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -23807,114 +35236,142 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(267), 32, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, + [11770] = 14, + ACTIONS(3), 1, + sym_comment, + ACTIONS(417), 1, + aux_sym_cte_token2, + ACTIONS(419), 1, aux_sym_create_function_parameter_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, + ACTIONS(423), 1, aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, + ACTIONS(429), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(441), 1, + anon_sym_CARET, + ACTIONS(445), 1, + anon_sym_SLASH, + ACTIONS(435), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(437), 2, anon_sym_DASH, + anon_sym_POUND, + ACTIONS(439), 4, anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [10923] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(185), 21, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_pg_command_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, + ACTIONS(443), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(421), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(187), 32, + ACTIONS(589), 8, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(591), 28, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, aux_sym_join_type_token3, aux_sym_join_type_token4, aux_sym_join_clause_token1, - aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + [11861] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(678), 1, + anon_sym_DOT, + STATE(242), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(115), 10, + aux_sym_with_clause_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [10984] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(325), 21, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_pg_command_token1, - anon_sym_EQ, + ACTIONS(113), 49, anon_sym_COMMA, - anon_sym_BQUOTE, - anon_sym_DQUOTE, + aux_sym_cte_token2, + anon_sym_LPAREN, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, + anon_sym_RBRACK, + anon_sym_COLON_COLON, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -23923,56 +35380,25 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(327), 32, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [11045] = 3, + anon_sym_DOT_STAR, + [11934] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(329), 21, + ACTIONS(563), 22, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_COMMA, anon_sym_BQUOTE, anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -23981,29 +35407,31 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(331), 32, + ACTIONS(565), 39, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_sequence_token3, aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, @@ -24013,181 +35441,136 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [11106] = 3, + [12003] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(111), 1, - aux_sym_sequence_token8, - ACTIONS(109), 51, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_add_token1, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_sequence_token4, - aux_sym_sequence_token5, - aux_sym_sequence_token6, - aux_sym_sequence_token11, - aux_sym_sequence_token12, - aux_sym_pg_command_token1, - aux_sym_create_function_statement_token3, - aux_sym_optimizer_hint_token1, - aux_sym_optimizer_hint_token2, - aux_sym_optimizer_hint_token3, - aux_sym_parallel_hint_token1, - aux_sym_parallel_hint_token2, - aux_sym_parallel_hint_token3, - aux_sym_parallel_hint_token4, - aux_sym_null_hint_token1, - aux_sym_null_hint_token2, - aux_sym_null_hint_token3, - aux_sym_null_hint_token5, - aux_sym__function_language_token1, - anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_set_statement_token3, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_exclude_token1, - aux_sym_table_constraint_exclude_token2, - aux_sym_table_constraint_foreign_key_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - aux_sym_values_clause_token1, - anon_sym_DOT, - anon_sym_LBRACK, - [11166] = 15, - ACTIONS(3), 1, - sym_comment, - ACTIONS(315), 1, - anon_sym_CARET, - ACTIONS(347), 1, + ACTIONS(549), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(351), 1, + anon_sym_TILDE, anon_sym_SLASH, - ACTIONS(365), 1, - aux_sym_boolean_expression_token1, - ACTIONS(385), 1, - aux_sym_sequence_token2, - ACTIONS(389), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(391), 1, - aux_sym_is_expression_token1, - ACTIONS(393), 1, - aux_sym_boolean_expression_token2, - ACTIONS(425), 1, - aux_sym_sequence_token5, - ACTIONS(345), 3, - anon_sym_PLUS, - anon_sym_PIPE, anon_sym_POUND, - ACTIONS(363), 4, - anon_sym_TILDE, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(349), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(361), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(423), 25, + ACTIONS(547), 51, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, aux_sym_alter_table_action_alter_column_token3, aux_sym_pg_command_token1, aux_sym_null_hint_token3, + anon_sym_EQ, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, + aux_sym_grant_statement_token8, aux_sym_auto_increment_constraint_token1, aux_sym_direction_constraint_token1, aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, anon_sym_CONSTRAINT, aux_sym_table_constraint_check_token1, aux_sym_table_constraint_unique_token1, aux_sym_table_constraint_primary_key_token1, - [11250] = 3, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [12072] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(111), 9, + ACTIONS(545), 10, aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(109), 43, + ACTIONS(543), 51, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, + aux_sym_alter_table_action_alter_column_token3, aux_sym_pg_command_token1, + aux_sym_null_hint_token3, anon_sym_EQ, - anon_sym_LPAREN, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, + aux_sym_from_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, - anon_sym_LBRACK, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -24196,58 +35579,64 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [11310] = 5, + [12141] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(427), 1, - anon_sym_DOT, - STATE(145), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(87), 8, + ACTIONS(340), 10, aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(85), 42, + ACTIONS(338), 51, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, + aux_sym_alter_table_action_alter_column_token3, aux_sym_pg_command_token1, + aux_sym_null_hint_token3, anon_sym_EQ, - anon_sym_LPAREN, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, + aux_sym_from_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, - anon_sym_LBRACK, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -24256,58 +35645,64 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [11374] = 9, + [12210] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(83), 1, - anon_sym_DOT, - ACTIONS(429), 1, - anon_sym_LPAREN, - ACTIONS(431), 1, - anon_sym_DASH_GT_GT, - ACTIONS(433), 1, - anon_sym_LBRACK, - ACTIONS(435), 1, - anon_sym_COLON_COLON, - STATE(6), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(87), 8, + ACTIONS(569), 10, aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(85), 36, + ACTIONS(567), 51, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, + aux_sym_alter_table_action_alter_column_token3, aux_sym_pg_command_token1, + aux_sym_null_hint_token3, anon_sym_EQ, - anon_sym_COMMA, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -24316,55 +35711,64 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [11444] = 4, + [12279] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(437), 1, - anon_sym_LPAREN, - ACTIONS(175), 8, + ACTIONS(627), 10, aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(173), 41, + ACTIONS(625), 51, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, + aux_sym_alter_table_action_alter_column_token3, aux_sym_pg_command_token1, + aux_sym_null_hint_token3, anon_sym_EQ, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, + aux_sym_from_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, - anon_sym_LBRACK, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -24373,45 +35777,59 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [11504] = 4, + [12348] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(263), 1, - anon_sym_COLON_COLON, - ACTIONS(267), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(265), 40, + ACTIONS(429), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(559), 22, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(561), 38, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, + aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, @@ -24420,6 +35838,30 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [12419] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(601), 22, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -24428,45 +35870,31 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [11563] = 4, - ACTIONS(3), 1, - sym_comment, - ACTIONS(439), 1, - anon_sym_DOT_STAR, - ACTIONS(267), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(265), 40, - ts_builtin_sym_end, - anon_sym_SEMI, + ACTIONS(603), 39, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, + aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, @@ -24475,6 +35903,31 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [12488] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(621), 22, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -24483,43 +35936,31 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [11622] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(203), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(201), 41, - ts_builtin_sym_end, - anon_sym_SEMI, + ACTIONS(623), 39, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, + aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, @@ -24528,66 +35969,71 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, - anon_sym_COLON_COLON, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [11679] = 9, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [12557] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(441), 1, - anon_sym_LPAREN, - ACTIONS(443), 1, - anon_sym_DOT, - ACTIONS(445), 1, - anon_sym_DASH_GT_GT, - ACTIONS(447), 1, - anon_sym_LBRACK, - ACTIONS(449), 1, - anon_sym_COLON_COLON, - STATE(241), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(87), 7, + ACTIONS(607), 10, aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(85), 36, + ACTIONS(605), 51, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, + aux_sym_alter_table_action_alter_column_token3, aux_sym_pg_command_token1, + aux_sym_null_hint_token3, anon_sym_EQ, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -24596,44 +36042,57 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [11748] = 3, + [12626] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(207), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(205), 41, + ACTIONS(609), 22, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(611), 39, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, + aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, @@ -24642,7 +36101,31 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, - anon_sym_COLON_COLON, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [12695] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(605), 22, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -24651,43 +36134,31 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [11805] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(183), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(181), 41, - ts_builtin_sym_end, - anon_sym_SEMI, + ACTIONS(607), 39, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, + aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, @@ -24696,7 +36167,31 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, - anon_sym_LBRACK, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [12764] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(567), 22, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -24705,45 +36200,31 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [11862] = 4, - ACTIONS(3), 1, - sym_comment, - ACTIONS(261), 1, - anon_sym_LBRACK, - ACTIONS(267), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(265), 40, - ts_builtin_sym_end, - anon_sym_SEMI, + ACTIONS(569), 39, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, + aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, @@ -24752,51 +36233,64 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [11921] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(303), 8, - aux_sym_create_function_parameter_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(301), 41, + [12833] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(543), 22, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(545), 39, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, + aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, @@ -24805,7 +36299,33 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, - anon_sym_LBRACK, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [12902] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(429), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(555), 22, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -24814,52 +36334,141 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [11978] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(199), 8, + ACTIONS(557), 38, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [12973] = 15, + ACTIONS(3), 1, + sym_comment, + ACTIONS(417), 1, + aux_sym_cte_token2, + ACTIONS(419), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(423), 1, + aux_sym_is_expression_token1, + ACTIONS(425), 1, + aux_sym_boolean_expression_token1, + ACTIONS(429), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(441), 1, + anon_sym_CARET, + ACTIONS(445), 1, + anon_sym_SLASH, + ACTIONS(435), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(437), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(439), 4, + anon_sym_TILDE, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(197), 41, + ACTIONS(443), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(421), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(589), 8, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(591), 27, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, aux_sym_join_type_token3, aux_sym_join_type_token4, aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_COLON_COLON, + aux_sym_boolean_expression_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + [13066] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(585), 22, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -24868,43 +36477,97 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [12035] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(253), 8, + ACTIONS(587), 39, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(251), 41, + [13135] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(547), 22, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(549), 39, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, + aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, @@ -24913,7 +36576,31 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, - anon_sym_COLON_COLON, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [13204] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(551), 22, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -24922,45 +36609,100 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [12092] = 4, - ACTIONS(3), 1, - sym_comment, - ACTIONS(451), 1, - anon_sym_LBRACK, - ACTIONS(193), 8, + ACTIONS(553), 39, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(191), 40, + [13273] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(429), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(441), 1, + anon_sym_CARET, + ACTIONS(581), 21, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(583), 38, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, + aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, @@ -24969,6 +36711,32 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [13346] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(429), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(581), 22, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -24977,43 +36745,102 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [12151] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(249), 8, + ACTIONS(583), 38, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(247), 41, + [13417] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(429), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(441), 1, + anon_sym_CARET, + ACTIONS(445), 1, + anon_sym_SLASH, + ACTIONS(443), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(581), 16, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(583), 37, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, + aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, @@ -25022,7 +36849,69 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, - anon_sym_COLON_COLON, + aux_sym_boolean_expression_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [13494] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(603), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(601), 51, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_pg_command_token1, + aux_sym_null_hint_token3, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -25031,56 +36920,64 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [12208] = 9, + [13563] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(453), 1, - anon_sym_LPAREN, - ACTIONS(455), 1, - anon_sym_DOT, - ACTIONS(457), 1, - anon_sym_DASH_GT_GT, - ACTIONS(459), 1, - anon_sym_LBRACK, - ACTIONS(461), 1, - anon_sym_COLON_COLON, - STATE(248), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(87), 7, - aux_sym_sequence_token5, + ACTIONS(631), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(85), 35, + ACTIONS(629), 51, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, + aux_sym_pg_command_token1, aux_sym_null_hint_token3, - aux_sym_create_function_parameter_token1, anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token9, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, aux_sym_auto_increment_constraint_token1, aux_sym_direction_constraint_token1, aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, anon_sym_CONSTRAINT, aux_sym_table_constraint_check_token1, aux_sym_table_constraint_unique_token1, aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, - anon_sym_RBRACK, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -25089,52 +36986,64 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [12276] = 3, + [13632] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(409), 8, + ACTIONS(635), 10, aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(407), 40, + ACTIONS(633), 51, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, + aux_sym_alter_table_action_alter_column_token3, aux_sym_pg_command_token1, + aux_sym_null_hint_token3, anon_sym_EQ, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, + aux_sym_from_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -25143,51 +37052,64 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [12332] = 3, + [13701] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(343), 8, + ACTIONS(623), 10, aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(341), 40, + ACTIONS(621), 51, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, + aux_sym_alter_table_action_alter_column_token3, aux_sym_pg_command_token1, + aux_sym_null_hint_token3, anon_sym_EQ, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, + aux_sym_from_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -25196,188 +37118,187 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [12388] = 27, + [13770] = 16, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(469), 1, - aux_sym_grant_statement_token4, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, - anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(941), 1, - sym__expression, - STATE(1035), 1, - sym_select_clause, - STATE(1575), 1, - sym_select_statement, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, + ACTIONS(641), 1, + aux_sym_cte_token2, + ACTIONS(643), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(647), 1, + aux_sym_is_expression_token1, + ACTIONS(649), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(657), 1, + anon_sym_CARET, + ACTIONS(661), 1, + anon_sym_SLASH, + ACTIONS(676), 1, + aux_sym_boolean_expression_token1, + ACTIONS(680), 1, + aux_sym_boolean_expression_token2, + ACTIONS(619), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(651), 2, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_PIPE, + ACTIONS(653), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(655), 4, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [12492] = 27, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(659), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(645), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(617), 32, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_pg_command_token1, + aux_sym_null_hint_token3, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + [13865] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, + ACTIONS(611), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(609), 51, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_pg_command_token1, aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(469), 1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, - anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(927), 1, - sym__expression, - STATE(1035), 1, - sym_select_clause, - STATE(1594), 1, - sym_select_statement, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [12596] = 3, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [13934] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(377), 8, + ACTIONS(577), 1, + anon_sym_DOT, + STATE(286), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(115), 11, aux_sym_create_function_parameter_token1, aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(375), 40, + ACTIONS(113), 47, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, aux_sym_pg_command_token1, anon_sym_EQ, aux_sym_drop_statement_token1, @@ -25385,8 +37306,9 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, @@ -25395,6 +37317,10 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -25403,33 +37329,31 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [12652] = 9, + anon_sym_DOT_STAR, + [14006] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(497), 1, - anon_sym_LPAREN, - ACTIONS(499), 1, + ACTIONS(682), 1, anon_sym_DOT, - ACTIONS(501), 1, - anon_sym_DASH_GT_GT, - ACTIONS(503), 1, - anon_sym_LBRACK, - ACTIONS(505), 1, - anon_sym_COLON_COLON, - STATE(261), 1, + STATE(281), 1, aux_sym_dotted_name_repeat1, - ACTIONS(85), 20, - anon_sym_EQ, + ACTIONS(92), 24, + ts_builtin_sym_end, + anon_sym_SEMI, anon_sym_COMMA, - anon_sym_RPAREN, + anon_sym_LPAREN, + aux_sym_pg_command_token1, + anon_sym_EQ, anon_sym_BQUOTE, anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -25438,148 +37362,228 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - ACTIONS(87), 22, - aux_sym_sequence_token2, - aux_sym_sequence_token3, + ACTIONS(94), 34, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [12720] = 27, + [14078] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, + ACTIONS(685), 1, + anon_sym_DOT, + STATE(281), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(113), 24, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, anon_sym_LPAREN, - ACTIONS(469), 1, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(115), 34, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(479), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [14150] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(685), 1, + anon_sym_DOT, + STATE(282), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(119), 24, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + anon_sym_LPAREN, + aux_sym_pg_command_token1, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(481), 1, anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, - anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(926), 1, - sym__expression, - STATE(1035), 1, - sym_select_clause, - STATE(1586), 1, - sym_select_statement, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(121), 34, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [12824] = 3, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [14222] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(77), 8, - aux_sym_sequence_token5, + ACTIONS(94), 11, + aux_sym_with_clause_token1, anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(75), 40, + ACTIONS(92), 49, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_LPAREN, + anon_sym_RPAREN, aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_null_hint_token2, aux_sym_null_hint_token3, aux_sym_create_function_parameter_token1, anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token9, + aux_sym_grant_statement_token8, aux_sym_auto_increment_constraint_token1, aux_sym_direction_constraint_token1, aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, anon_sym_CONSTRAINT, aux_sym_table_constraint_check_token1, aux_sym_table_constraint_unique_token1, aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, anon_sym_LBRACK, anon_sym_RBRACK, anon_sym_COLON_COLON, @@ -25591,35 +37595,46 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, anon_sym_DOT_STAR, - [12880] = 3, + [14290] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(399), 8, + ACTIONS(687), 1, + aux_sym_with_clause_token1, + ACTIONS(689), 1, + anon_sym_LPAREN, + ACTIONS(691), 1, + aux_sym_type_token2, + ACTIONS(693), 1, + aux_sym_type_token3, + ACTIONS(149), 11, aux_sym_create_function_parameter_token1, aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(397), 40, + ACTIONS(143), 45, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, aux_sym_pg_command_token1, anon_sym_EQ, aux_sym_drop_statement_token1, @@ -25627,8 +37642,9 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, @@ -25637,6 +37653,10 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -25645,48 +37665,42 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [12936] = 8, + [14366] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(509), 1, - anon_sym_DASH, - ACTIONS(511), 1, - anon_sym_CARET, - ACTIONS(515), 1, - anon_sym_SLASH, - ACTIONS(507), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(513), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(313), 6, + ACTIONS(695), 1, + anon_sym_DOT, + STATE(286), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(94), 11, aux_sym_create_function_parameter_token1, aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(311), 31, + ACTIONS(92), 47, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, aux_sym_pg_command_token1, anon_sym_EQ, aux_sym_drop_statement_token1, @@ -25694,8 +37708,9 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, @@ -25704,114 +37719,140 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [13002] = 14, + anon_sym_DOT_STAR, + [14438] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(509), 1, + ACTIONS(133), 11, + aux_sym_with_clause_token1, + anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(511), 1, - anon_sym_CARET, - ACTIONS(515), 1, + anon_sym_TILDE, anon_sym_SLASH, - ACTIONS(519), 1, - aux_sym_sequence_token2, - ACTIONS(521), 1, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(131), 49, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_LPAREN, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, aux_sym_create_function_parameter_token1, - ACTIONS(525), 1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, aux_sym_is_expression_token1, - ACTIONS(527), 1, aux_sym_boolean_expression_token1, - ACTIONS(529), 1, aux_sym_boolean_expression_token2, - ACTIONS(507), 3, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, + anon_sym_RBRACK, + anon_sym_COLON_COLON, anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(531), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(513), 5, + anon_sym_CARET, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - ACTIONS(523), 6, - anon_sym_EQ, + anon_sym_PIPE, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(517), 22, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - [13080] = 3, + anon_sym_DOT_STAR, + [14506] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(413), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, + ACTIONS(157), 11, + aux_sym_with_clause_token1, + anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(411), 40, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, + ACTIONS(155), 49, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_LPAREN, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, anon_sym_EQ, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, + anon_sym_RBRACK, + anon_sym_COLON_COLON, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -25820,34 +37861,40 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [13136] = 3, + anon_sym_DOT_STAR, + [14574] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(267), 8, + ACTIONS(94), 12, aux_sym_create_function_parameter_token1, aux_sym_boolean_expression_token2, + anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(265), 40, + ACTIONS(92), 47, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, aux_sym_pg_command_token1, anon_sym_EQ, aux_sym_drop_statement_token1, @@ -25855,8 +37902,9 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, @@ -25865,6 +37913,10 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -25873,51 +37925,92 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [13192] = 3, + anon_sym_DOT_STAR, + [14641] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(405), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(403), 40, + ACTIONS(92), 25, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, + anon_sym_LPAREN, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DOT, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(94), 34, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, + aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [14708] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(155), 25, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + anon_sym_LPAREN, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DOT, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -25926,98 +38019,146 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [13248] = 14, - ACTIONS(3), 1, - sym_comment, - ACTIONS(509), 1, - anon_sym_DASH, - ACTIONS(511), 1, - anon_sym_CARET, - ACTIONS(515), 1, - anon_sym_SLASH, - ACTIONS(519), 1, - aux_sym_sequence_token2, - ACTIONS(521), 1, + ACTIONS(157), 34, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, aux_sym_create_function_parameter_token1, - ACTIONS(525), 1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, aux_sym_is_expression_token1, - ACTIONS(527), 1, aux_sym_boolean_expression_token1, - ACTIONS(529), 1, aux_sym_boolean_expression_token2, - ACTIONS(507), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(531), 4, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_DASH, anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(513), 5, + [14775] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(698), 1, + anon_sym_LPAREN, + ACTIONS(700), 1, + anon_sym_DOT, + ACTIONS(702), 1, + anon_sym_LBRACK, + STATE(309), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(119), 24, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - ACTIONS(523), 6, - anon_sym_EQ, + anon_sym_PIPE, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(533), 22, - ts_builtin_sym_end, - anon_sym_SEMI, + anon_sym_DOT_STAR, + ACTIONS(121), 31, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, + aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - [13326] = 3, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [14850] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(355), 8, + ACTIONS(704), 1, + aux_sym_with_clause_token1, + ACTIONS(706), 1, + anon_sym_LPAREN, + ACTIONS(708), 1, + aux_sym_type_token3, + ACTIONS(165), 11, aux_sym_create_function_parameter_token1, aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(353), 40, + ACTIONS(159), 45, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, aux_sym_pg_command_token1, anon_sym_EQ, aux_sym_drop_statement_token1, @@ -26025,8 +38166,9 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, @@ -26035,6 +38177,10 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -26043,174 +38189,232 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [13382] = 14, + [14923] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(509), 1, - anon_sym_DASH, - ACTIONS(511), 1, - anon_sym_CARET, - ACTIONS(515), 1, - anon_sym_SLASH, - ACTIONS(519), 1, - aux_sym_sequence_token2, - ACTIONS(521), 1, + ACTIONS(687), 1, + aux_sym_with_clause_token1, + ACTIONS(689), 1, + anon_sym_LPAREN, + ACTIONS(693), 1, + aux_sym_type_token3, + ACTIONS(149), 11, aux_sym_create_function_parameter_token1, - ACTIONS(525), 1, - aux_sym_is_expression_token1, - ACTIONS(527), 1, - aux_sym_boolean_expression_token1, - ACTIONS(529), 1, aux_sym_boolean_expression_token2, - ACTIONS(507), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(531), 4, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(513), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(523), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(383), 22, + ACTIONS(143), 45, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, aux_sym_pg_command_token1, + anon_sym_EQ, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, aux_sym_join_type_token3, aux_sym_join_type_token4, aux_sym_join_clause_token1, - [13460] = 10, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [14996] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(509), 1, + ACTIONS(710), 1, + anon_sym_DOT, + STATE(251), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(121), 10, + aux_sym_with_clause_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(511), 1, - anon_sym_CARET, - ACTIONS(515), 1, + anon_sym_TILDE, anon_sym_SLASH, - ACTIONS(359), 2, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - ACTIONS(507), 3, - anon_sym_PLUS, - anon_sym_PIPE, anon_sym_POUND, - ACTIONS(531), 4, - anon_sym_TILDE, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(513), 5, + ACTIONS(119), 47, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_LPAREN, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - ACTIONS(523), 6, - anon_sym_EQ, + anon_sym_PIPE, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(357), 25, + [15067] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(131), 25, ts_builtin_sym_end, anon_sym_SEMI, + anon_sym_COMMA, + anon_sym_LPAREN, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DOT, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(133), 34, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, + aux_sym_create_function_parameter_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, - [13530] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(69), 8, - aux_sym_create_function_parameter_token1, - anon_sym_DOT, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(67), 40, + [15134] = 8, + ACTIONS(3), 1, + sym_comment, + ACTIONS(712), 1, + aux_sym_with_clause_token1, + ACTIONS(714), 1, + anon_sym_LPAREN, + ACTIONS(716), 1, + aux_sym_type_token1, + ACTIONS(718), 1, + aux_sym_type_token2, + ACTIONS(720), 1, + aux_sym_type_token3, + ACTIONS(99), 23, ts_builtin_sym_end, anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, + anon_sym_COMMA, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_LPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + anon_sym_BQUOTE, + anon_sym_DQUOTE, anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_LBRACK, - anon_sym_COLON_COLON, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -26219,128 +38423,94 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [13586] = 27, - ACTIONS(3), 1, - sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(469), 1, + ACTIONS(105), 30, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(946), 1, - sym__expression, - STATE(1035), 1, - sym_select_clause, - STATE(1640), 1, - sym_select_statement, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [13690] = 3, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [15210] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(77), 8, + ACTIONS(722), 1, + aux_sym_with_clause_token1, + ACTIONS(724), 1, + aux_sym_type_token3, + ACTIONS(213), 11, aux_sym_create_function_parameter_token1, - anon_sym_DOT, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(75), 40, + ACTIONS(209), 45, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_LPAREN, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_LBRACK, - anon_sym_COLON_COLON, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -26349,52 +38519,27 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [13746] = 3, + [15280] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(187), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(185), 40, + ACTIONS(155), 26, ts_builtin_sym_end, anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, + anon_sym_COMMA, + anon_sym_LPAREN, aux_sym_pg_command_token1, anon_sym_EQ, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_COLON_COLON, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -26403,265 +38548,78 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [13802] = 27, - ACTIONS(3), 1, - sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(469), 1, - aux_sym_grant_statement_token4, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, - anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(921), 1, - sym__expression, - STATE(1035), 1, - sym_select_clause, - STATE(1617), 1, - sym_select_statement, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [13906] = 27, - ACTIONS(3), 1, - sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(469), 1, - aux_sym_grant_statement_token4, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, - anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(919), 1, - sym__expression, - STATE(1035), 1, - sym_select_clause, - STATE(1780), 1, - sym_select_statement, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [14010] = 27, - ACTIONS(3), 1, - sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(469), 1, + anon_sym_DOT_STAR, + ACTIONS(157), 32, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, + anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(939), 1, - sym__expression, - STATE(1035), 1, - sym_select_clause, - STATE(1663), 1, - sym_select_statement, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [14114] = 3, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [15346] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(369), 8, + ACTIONS(726), 1, + anon_sym_DOT, + STATE(306), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(115), 12, + aux_sym_with_clause_token1, aux_sym_create_function_parameter_token1, aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(367), 40, + ACTIONS(113), 44, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_LPAREN, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, aux_sym_pg_command_token1, anon_sym_EQ, aux_sym_drop_statement_token1, @@ -26669,16 +38627,18 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, + aux_sym_limit_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -26687,49 +38647,26 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [14170] = 3, + [15416] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(69), 8, - aux_sym_sequence_token5, - anon_sym_DOT, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(67), 40, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_null_hint_token2, - aux_sym_null_hint_token3, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_LPAREN, + ACTIONS(131), 26, + ts_builtin_sym_end, + anon_sym_SEMI, anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + anon_sym_LPAREN, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_LBRACK, - anon_sym_RBRACK, anon_sym_COLON_COLON, anon_sym_PLUS, anon_sym_CARET, @@ -26739,37 +38676,78 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, anon_sym_DOT_STAR, - [14226] = 4, + ACTIONS(133), 32, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [15482] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(511), 1, - anon_sym_CARET, - ACTIONS(313), 8, + ACTIONS(726), 1, + anon_sym_DOT, + STATE(300), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(121), 12, + aux_sym_with_clause_token1, aux_sym_create_function_parameter_token1, aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(311), 39, + ACTIONS(119), 44, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_LPAREN, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, aux_sym_pg_command_token1, anon_sym_EQ, aux_sym_drop_statement_token1, @@ -26777,51 +38755,61 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, + aux_sym_limit_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, anon_sym_PLUS, + anon_sym_CARET, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [14284] = 3, + [15552] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(327), 8, + ACTIONS(728), 1, + aux_sym_with_clause_token1, + ACTIONS(730), 1, + aux_sym_type_token3, + ACTIONS(242), 11, aux_sym_create_function_parameter_token1, aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(325), 40, + ACTIONS(238), 45, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, aux_sym_pg_command_token1, anon_sym_EQ, aux_sym_drop_statement_token1, @@ -26829,8 +38817,9 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, @@ -26839,6 +38828,10 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -26847,111 +38840,42 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [14340] = 27, - ACTIONS(3), 1, - sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(469), 1, - aux_sym_grant_statement_token4, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, - anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(933), 1, - sym__expression, - STATE(1035), 1, - sym_select_clause, - STATE(1631), 1, - sym_select_statement, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [14444] = 3, + [15622] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(313), 8, + ACTIONS(79), 1, + anon_sym_LBRACK, + STATE(3), 1, + aux_sym_array_type_repeat1, + ACTIONS(330), 11, aux_sym_create_function_parameter_token1, aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(311), 40, + ACTIONS(328), 45, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, aux_sym_pg_command_token1, anon_sym_EQ, aux_sym_drop_statement_token1, @@ -26959,8 +38883,9 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, @@ -26969,6 +38894,9 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -26977,111 +38905,42 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [14500] = 27, - ACTIONS(3), 1, - sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(469), 1, - aux_sym_grant_statement_token4, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, - anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(936), 1, - sym__expression, - STATE(1035), 1, - sym_select_clause, - STATE(1571), 1, - sym_select_statement, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [14604] = 3, + [15692] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(331), 8, + ACTIONS(732), 1, + anon_sym_COLON_COLON, + ACTIONS(734), 1, + anon_sym_DOT_STAR, + ACTIONS(340), 11, aux_sym_create_function_parameter_token1, aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(329), 40, + ACTIONS(338), 45, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, aux_sym_pg_command_token1, anon_sym_EQ, aux_sym_drop_statement_token1, @@ -27089,8 +38948,9 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, @@ -27099,6 +38959,9 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -27107,188 +38970,106 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [14660] = 27, + [15762] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(469), 1, - aux_sym_grant_statement_token4, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, + ACTIONS(736), 1, + anon_sym_DOT, + STATE(306), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(94), 12, + aux_sym_with_clause_token1, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(930), 1, - sym__expression, - STATE(1035), 1, - sym_select_clause, - STATE(1602), 1, - sym_select_statement, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [14764] = 27, - ACTIONS(3), 1, - sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(92), 44, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + anon_sym_COMMA, + aux_sym_cte_token2, anon_sym_LPAREN, - ACTIONS(469), 1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, - anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(923), 1, - sym__expression, - STATE(1035), 1, - sym_select_clause, - STATE(1548), 1, - sym_select_statement, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [14868] = 3, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [15832] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(323), 8, + ACTIONS(739), 1, + aux_sym_with_clause_token1, + ACTIONS(741), 1, + aux_sym_type_token3, + ACTIONS(195), 11, aux_sym_create_function_parameter_token1, aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(321), 40, + ACTIONS(191), 45, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, aux_sym_pg_command_token1, anon_sym_EQ, aux_sym_drop_statement_token1, @@ -27296,8 +39077,9 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, @@ -27306,6 +39088,10 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -27314,172 +39100,240 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [15902] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(408), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(406), 46, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [14924] = 11, + [15967] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(509), 1, + ACTIONS(700), 1, + anon_sym_DOT, + STATE(326), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(113), 24, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + ACTIONS(115), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(511), 1, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [16036] = 17, + ACTIONS(3), 1, + sym_comment, + ACTIONS(641), 1, + aux_sym_cte_token2, + ACTIONS(643), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(647), 1, + aux_sym_is_expression_token1, + ACTIONS(649), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(657), 1, anon_sym_CARET, - ACTIONS(515), 1, + ACTIONS(661), 1, anon_sym_SLASH, - ACTIONS(527), 1, + ACTIONS(676), 1, aux_sym_boolean_expression_token1, - ACTIONS(359), 2, - aux_sym_create_function_parameter_token1, + ACTIONS(680), 1, aux_sym_boolean_expression_token2, - ACTIONS(507), 3, + ACTIONS(651), 2, anon_sym_PLUS, anon_sym_PIPE, + ACTIONS(653), 2, + anon_sym_DASH, anon_sym_POUND, - ACTIONS(531), 4, + ACTIONS(745), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(747), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(655), 4, anon_sym_TILDE, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(513), 5, + ACTIONS(659), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - ACTIONS(523), 6, + ACTIONS(645), 6, anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(357), 24, + ACTIONS(743), 26, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, + aux_sym_alter_table_action_alter_column_token3, aux_sym_pg_command_token1, + aux_sym_null_hint_token3, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - [14996] = 27, - ACTIONS(3), 1, - sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(469), 1, - aux_sym_grant_statement_token4, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, - anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(925), 1, - sym__expression, - STATE(1035), 1, - sym_select_clause, - STATE(1557), 1, - sym_select_statement, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [15100] = 3, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + [16129] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(299), 8, + ACTIONS(248), 11, aux_sym_create_function_parameter_token1, aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(297), 40, + ACTIONS(246), 46, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, aux_sym_pg_command_token1, anon_sym_EQ, aux_sym_drop_statement_token1, @@ -27487,8 +39341,9 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, @@ -27497,6 +39352,10 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -27505,111 +39364,40 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [15156] = 27, - ACTIONS(3), 1, - sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(469), 1, - aux_sym_grant_statement_token4, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, - anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(920), 1, - sym__expression, - STATE(1035), 1, - sym_select_clause, - STATE(1618), 1, - sym_select_statement, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [15260] = 3, + [16194] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(335), 8, + ACTIONS(133), 12, + aux_sym_with_clause_token1, aux_sym_create_function_parameter_token1, aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(333), 40, + ACTIONS(131), 45, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_LPAREN, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, aux_sym_pg_command_token1, anon_sym_EQ, aux_sym_drop_statement_token1, @@ -27617,16 +39405,19 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, + aux_sym_limit_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DOT, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -27635,34 +39426,40 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [15316] = 3, + [16259] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(339), 8, + ACTIONS(157), 12, + aux_sym_with_clause_token1, aux_sym_create_function_parameter_token1, aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(337), 40, + ACTIONS(155), 45, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_LPAREN, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, aux_sym_pg_command_token1, anon_sym_EQ, aux_sym_drop_statement_token1, @@ -27670,16 +39467,19 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, + aux_sym_limit_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DOT, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -27688,34 +39488,38 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [15372] = 3, + [16324] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(319), 8, + ACTIONS(195), 11, aux_sym_create_function_parameter_token1, aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(317), 40, + ACTIONS(191), 46, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, aux_sym_pg_command_token1, anon_sym_EQ, aux_sym_drop_statement_token1, @@ -27723,8 +39527,9 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, @@ -27733,6 +39538,10 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -27741,34 +39550,38 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [15428] = 3, + [16389] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(373), 8, + ACTIONS(541), 11, aux_sym_create_function_parameter_token1, aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(371), 40, + ACTIONS(539), 46, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, aux_sym_pg_command_token1, anon_sym_EQ, aux_sym_drop_statement_token1, @@ -27776,8 +39589,9 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, @@ -27786,6 +39600,10 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -27794,43 +39612,38 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [15484] = 6, + [16454] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(511), 1, - anon_sym_CARET, - ACTIONS(515), 1, - anon_sym_SLASH, - ACTIONS(513), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(313), 7, + ACTIONS(213), 11, aux_sym_create_function_parameter_token1, aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(311), 34, + ACTIONS(209), 46, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, aux_sym_pg_command_token1, anon_sym_EQ, aux_sym_drop_statement_token1, @@ -27838,8 +39651,9 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, @@ -27848,36 +39662,50 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [15546] = 3, + [16519] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(381), 8, + ACTIONS(260), 11, aux_sym_create_function_parameter_token1, aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(379), 40, + ACTIONS(258), 46, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, aux_sym_pg_command_token1, anon_sym_EQ, aux_sym_drop_statement_token1, @@ -27885,8 +39713,9 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, @@ -27895,6 +39724,10 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -27903,125 +39736,63 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [15602] = 25, + [16584] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(535), 1, - aux_sym_sequence_token2, - ACTIONS(537), 1, - aux_sym_null_hint_token3, - ACTIONS(539), 1, + ACTIONS(749), 1, anon_sym_LPAREN, - ACTIONS(541), 1, - aux_sym_TRUE_token1, - ACTIONS(543), 1, - aux_sym_FALSE_token1, - ACTIONS(545), 1, - aux_sym_number_token1, - ACTIONS(547), 1, - sym__unquoted_identifier, - ACTIONS(549), 1, - anon_sym_BQUOTE, - ACTIONS(551), 1, - anon_sym_DQUOTE, - ACTIONS(553), 1, - anon_sym_SQUOTE, - ACTIONS(555), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(559), 1, - anon_sym_DASH, - ACTIONS(561), 1, - anon_sym_STAR, - ACTIONS(563), 1, - aux_sym_interval_expression_token1, - ACTIONS(565), 1, - anon_sym_DOLLAR, - STATE(13), 1, - sym_identifier, - STATE(23), 1, - sym__quoted_identifier, - STATE(56), 1, - sym__expression, - STATE(113), 1, - sym_argument_reference, - STATE(115), 2, - sym_dotted_name, - sym__identifier, - STATE(963), 2, - sym__aliased_expression, - sym__aliasable_expression, - STATE(130), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(557), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(167), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [15701] = 5, - ACTIONS(3), 1, - sym_comment, - ACTIONS(567), 1, + ACTIONS(751), 1, anon_sym_DOT, - STATE(237), 1, + ACTIONS(753), 1, + anon_sym_LBRACK, + STATE(405), 1, aux_sym_dotted_name_repeat1, - ACTIONS(111), 7, - aux_sym_sequence_token5, + ACTIONS(121), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(109), 38, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_null_hint_token2, - aux_sym_null_hint_token3, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_LPAREN, + ACTIONS(119), 42, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_LBRACK, - anon_sym_RBRACK, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -28030,172 +39801,50 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, anon_sym_DOT_STAR, - [15760] = 25, - ACTIONS(3), 1, - sym_comment, - ACTIONS(535), 1, - aux_sym_sequence_token2, - ACTIONS(537), 1, - aux_sym_null_hint_token3, - ACTIONS(539), 1, - anon_sym_LPAREN, - ACTIONS(541), 1, - aux_sym_TRUE_token1, - ACTIONS(543), 1, - aux_sym_FALSE_token1, - ACTIONS(545), 1, - aux_sym_number_token1, - ACTIONS(547), 1, - sym__unquoted_identifier, - ACTIONS(549), 1, - anon_sym_BQUOTE, - ACTIONS(551), 1, - anon_sym_DQUOTE, - ACTIONS(553), 1, - anon_sym_SQUOTE, - ACTIONS(555), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(559), 1, - anon_sym_DASH, - ACTIONS(561), 1, - anon_sym_STAR, - ACTIONS(563), 1, - aux_sym_interval_expression_token1, - ACTIONS(565), 1, - anon_sym_DOLLAR, - STATE(13), 1, - sym_identifier, - STATE(23), 1, - sym__quoted_identifier, - STATE(56), 1, - sym__expression, - STATE(113), 1, - sym_argument_reference, - STATE(115), 2, - sym_dotted_name, - sym__identifier, - STATE(965), 2, - sym__aliased_expression, - sym__aliasable_expression, - STATE(130), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(557), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(167), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [15859] = 25, + [16657] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(570), 1, - aux_sym_sequence_token2, - ACTIONS(572), 1, - aux_sym_null_hint_token3, - ACTIONS(574), 1, - anon_sym_LPAREN, - ACTIONS(576), 1, - aux_sym_TRUE_token1, - ACTIONS(578), 1, - aux_sym_FALSE_token1, - ACTIONS(580), 1, - aux_sym_number_token1, - ACTIONS(582), 1, - sym__unquoted_identifier, - ACTIONS(584), 1, - anon_sym_BQUOTE, - ACTIONS(586), 1, - anon_sym_DQUOTE, - ACTIONS(588), 1, - anon_sym_SQUOTE, - ACTIONS(590), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(594), 1, + ACTIONS(293), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(596), 1, - anon_sym_STAR, - ACTIONS(598), 1, - aux_sym_interval_expression_token1, - ACTIONS(600), 1, - anon_sym_DOLLAR, - STATE(247), 1, - sym_identifier, - STATE(253), 1, - sym__quoted_identifier, - STATE(513), 1, - sym__expression, - STATE(578), 1, - sym_argument_reference, - STATE(579), 2, - sym_dotted_name, - sym__identifier, - STATE(963), 2, - sym__aliased_expression, - sym__aliasable_expression, - STATE(581), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(592), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(652), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [15958] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(77), 23, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(291), 46, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, - aux_sym_from_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, aux_sym_join_type_token3, @@ -28203,25 +39852,72 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [16722] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(242), 11, + aux_sym_create_function_parameter_token1, aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(75), 24, + ACTIONS(238), 46, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_LBRACK, - anon_sym_COLON_COLON, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -28230,52 +39926,128 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [16013] = 5, + [16787] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(443), 1, - anon_sym_DOT, - STATE(242), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(81), 7, + ACTIONS(579), 1, + anon_sym_LBRACK, + ACTIONS(340), 11, aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(79), 38, + ACTIONS(338), 45, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_LPAREN, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [16854] = 8, + ACTIONS(3), 1, + sym_comment, + ACTIONS(755), 1, + aux_sym_with_clause_token1, + ACTIONS(757), 1, + anon_sym_LPAREN, + ACTIONS(759), 1, + aux_sym_type_token1, + ACTIONS(761), 1, + aux_sym_type_token2, + ACTIONS(763), 1, + aux_sym_type_token3, + ACTIONS(105), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(99), 43, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_LBRACK, + anon_sym_RBRACK, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -28284,51 +40056,59 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [16072] = 5, + [16929] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(602), 1, - anon_sym_DOT, - STATE(242), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(111), 7, + ACTIONS(256), 11, aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(109), 38, + ACTIONS(254), 46, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_LPAREN, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, @@ -28338,98 +40118,49 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [16131] = 25, + [16994] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(141), 1, - aux_sym_sequence_token2, - ACTIONS(143), 1, - aux_sym_null_hint_token3, - ACTIONS(145), 1, - anon_sym_LPAREN, - ACTIONS(147), 1, - aux_sym_TRUE_token1, - ACTIONS(149), 1, - aux_sym_FALSE_token1, - ACTIONS(151), 1, - aux_sym_number_token1, - ACTIONS(153), 1, - sym__unquoted_identifier, - ACTIONS(155), 1, - anon_sym_BQUOTE, - ACTIONS(157), 1, - anon_sym_DQUOTE, - ACTIONS(159), 1, - anon_sym_SQUOTE, - ACTIONS(161), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(165), 1, + ACTIONS(252), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(167), 1, - anon_sym_STAR, - ACTIONS(169), 1, - aux_sym_interval_expression_token1, - ACTIONS(171), 1, - anon_sym_DOLLAR, - STATE(195), 1, - sym_identifier, - STATE(244), 1, - sym__quoted_identifier, - STATE(467), 1, - sym__expression, - STATE(522), 1, - sym_argument_reference, - STATE(524), 2, - sym_dotted_name, - sym__identifier, - STATE(963), 2, - sym__aliased_expression, - sym__aliasable_expression, - STATE(525), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(163), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(603), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [16230] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(69), 23, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(250), 46, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, - aux_sym_from_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, aux_sym_join_type_token3, @@ -28437,25 +40168,72 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [17059] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(309), 11, + aux_sym_create_function_parameter_token1, aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(67), 24, + ACTIONS(307), 46, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_LBRACK, - anon_sym_COLON_COLON, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -28464,258 +40242,124 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [16285] = 25, + [17124] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(570), 1, - aux_sym_sequence_token2, - ACTIONS(572), 1, - aux_sym_null_hint_token3, - ACTIONS(574), 1, - anon_sym_LPAREN, - ACTIONS(576), 1, - aux_sym_TRUE_token1, - ACTIONS(578), 1, - aux_sym_FALSE_token1, - ACTIONS(580), 1, - aux_sym_number_token1, - ACTIONS(582), 1, - sym__unquoted_identifier, - ACTIONS(584), 1, + ACTIONS(765), 1, + anon_sym_DOT, + STATE(326), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(92), 24, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(586), 1, anon_sym_DQUOTE, - ACTIONS(588), 1, - anon_sym_SQUOTE, - ACTIONS(590), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(594), 1, - anon_sym_DASH, - ACTIONS(596), 1, - anon_sym_STAR, - ACTIONS(598), 1, - aux_sym_interval_expression_token1, - ACTIONS(600), 1, - anon_sym_DOLLAR, - STATE(247), 1, - sym_identifier, - STATE(253), 1, - sym__quoted_identifier, - STATE(513), 1, - sym__expression, - STATE(578), 1, - sym_argument_reference, - STATE(579), 2, - sym_dotted_name, - sym__identifier, - STATE(1173), 2, - sym__aliased_expression, - sym__aliasable_expression, - STATE(581), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(592), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(652), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [16384] = 25, - ACTIONS(3), 1, - sym_comment, - ACTIONS(35), 1, - aux_sym_sequence_token2, - ACTIONS(37), 1, - aux_sym_null_hint_token3, - ACTIONS(39), 1, - anon_sym_LPAREN, - ACTIONS(41), 1, - aux_sym_TRUE_token1, - ACTIONS(43), 1, - aux_sym_FALSE_token1, - ACTIONS(45), 1, - aux_sym_number_token1, - ACTIONS(47), 1, - sym__unquoted_identifier, - ACTIONS(49), 1, - anon_sym_BQUOTE, - ACTIONS(51), 1, - anon_sym_DQUOTE, - ACTIONS(53), 1, - anon_sym_SQUOTE, - ACTIONS(55), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(59), 1, - anon_sym_DASH, - ACTIONS(61), 1, - anon_sym_STAR, - ACTIONS(63), 1, - aux_sym_interval_expression_token1, - ACTIONS(65), 1, - anon_sym_DOLLAR, - STATE(8), 1, - sym_identifier, - STATE(14), 1, - sym__quoted_identifier, - STATE(43), 1, - sym__expression, - STATE(68), 1, - sym_argument_reference, - STATE(67), 2, - sym_dotted_name, - sym__identifier, - STATE(963), 2, - sym__aliased_expression, - sym__aliasable_expression, - STATE(66), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(57), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(110), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [16483] = 9, - ACTIONS(3), 1, - sym_comment, - ACTIONS(605), 1, - anon_sym_LPAREN, - ACTIONS(607), 1, - anon_sym_DOT, - ACTIONS(609), 1, - anon_sym_DASH_GT_GT, - ACTIONS(611), 1, - anon_sym_LBRACK, - ACTIONS(613), 1, - anon_sym_COLON_COLON, - STATE(371), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(85), 20, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, anon_sym_DOT_STAR, - ACTIONS(87), 21, - aux_sym_sequence_token2, - aux_sym_sequence_token3, + ACTIONS(94), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [16550] = 5, + [17193] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(455), 1, - anon_sym_DOT, - STATE(237), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(81), 7, - aux_sym_sequence_token5, + ACTIONS(282), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(79), 38, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_null_hint_token2, - aux_sym_null_hint_token3, - aux_sym_create_function_parameter_token1, + ACTIONS(280), 46, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_LBRACK, - anon_sym_RBRACK, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -28724,101 +40368,61 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [16609] = 25, + [17258] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, + ACTIONS(732), 1, + anon_sym_COLON_COLON, + ACTIONS(340), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - ACTIONS(615), 1, - anon_sym_RPAREN, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(887), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [16707] = 5, - ACTIONS(3), 1, - sym_comment, - ACTIONS(617), 1, - anon_sym_DOT, - STATE(250), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(109), 22, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(338), 45, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_LBRACK, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -28827,21 +40431,49 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - ACTIONS(111), 22, - aux_sym_sequence_token2, - aux_sym_sequence_token3, + [17325] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(272), 11, aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(270), 46, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, - aux_sym_from_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, aux_sym_join_type_token3, @@ -28849,169 +40481,60 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [17390] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(286), 11, + aux_sym_create_function_parameter_token1, aux_sym_boolean_expression_token2, - sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [16765] = 25, - ACTIONS(3), 1, - sym_comment, - ACTIONS(620), 1, - aux_sym_sequence_token2, - ACTIONS(622), 1, - aux_sym_null_hint_token3, - ACTIONS(624), 1, - anon_sym_LPAREN, - ACTIONS(626), 1, - aux_sym_TRUE_token1, - ACTIONS(628), 1, - aux_sym_FALSE_token1, - ACTIONS(630), 1, - aux_sym_number_token1, - ACTIONS(632), 1, - sym__unquoted_identifier, - ACTIONS(634), 1, - anon_sym_BQUOTE, - ACTIONS(636), 1, - anon_sym_DQUOTE, - ACTIONS(638), 1, - anon_sym_SQUOTE, - ACTIONS(640), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(644), 1, - anon_sym_DASH, - ACTIONS(646), 1, - anon_sym_STAR, - ACTIONS(648), 1, - aux_sym_interval_expression_token1, - ACTIONS(650), 1, - anon_sym_DOLLAR, - STATE(668), 1, - sym_identifier, - STATE(675), 1, - sym__quoted_identifier, - STATE(792), 1, - sym_argument_reference, - STATE(836), 1, - sym__expression, - STATE(1042), 1, - sym_group_by_clause_body, - STATE(785), 2, - sym_dotted_name, - sym__identifier, - STATE(804), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(642), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(864), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [16863] = 25, - ACTIONS(3), 1, - sym_comment, - ACTIONS(652), 1, - aux_sym_sequence_token2, - ACTIONS(654), 1, - aux_sym_null_hint_token3, - ACTIONS(656), 1, - anon_sym_LPAREN, - ACTIONS(658), 1, - aux_sym_TRUE_token1, - ACTIONS(660), 1, - aux_sym_FALSE_token1, - ACTIONS(662), 1, - aux_sym_number_token1, - ACTIONS(664), 1, - sym__unquoted_identifier, - ACTIONS(666), 1, - anon_sym_BQUOTE, - ACTIONS(668), 1, - anon_sym_DQUOTE, - ACTIONS(670), 1, - anon_sym_SQUOTE, - ACTIONS(672), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(676), 1, - anon_sym_DASH, - ACTIONS(678), 1, - anon_sym_STAR, - ACTIONS(680), 1, - aux_sym_interval_expression_token1, - ACTIONS(682), 1, - anon_sym_DOLLAR, - STATE(645), 1, - sym_identifier, - STATE(662), 1, - sym__expression, - STATE(663), 1, - sym__quoted_identifier, - STATE(747), 1, - sym_argument_reference, - STATE(1161), 1, - sym_ordered_expression, - STATE(733), 2, - sym_dotted_name, - sym__identifier, - STATE(748), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(674), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(751), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [16961] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(69), 22, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, + ACTIONS(284), 46, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, @@ -29020,25 +40543,10 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DOT, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(67), 24, - anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, + aux_sym_at_time_zone_expression_token1, anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_LBRACK, - anon_sym_COLON_COLON, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -29047,490 +40555,122 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [17015] = 25, + [17455] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(684), 1, - aux_sym_sequence_token2, - ACTIONS(686), 1, - aux_sym_null_hint_token3, - ACTIONS(688), 1, - anon_sym_LPAREN, - ACTIONS(690), 1, - aux_sym_TRUE_token1, - ACTIONS(692), 1, - aux_sym_FALSE_token1, - ACTIONS(694), 1, - aux_sym_number_token1, - ACTIONS(696), 1, - sym__unquoted_identifier, - ACTIONS(698), 1, - anon_sym_BQUOTE, - ACTIONS(700), 1, - anon_sym_DQUOTE, - ACTIONS(702), 1, - anon_sym_SQUOTE, - ACTIONS(704), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(708), 1, + ACTIONS(453), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(710), 1, - anon_sym_STAR, - ACTIONS(712), 1, - aux_sym_interval_expression_token1, - ACTIONS(714), 1, - anon_sym_DOLLAR, - STATE(7), 1, - sym_identifier, - STATE(16), 1, - sym__quoted_identifier, - STATE(55), 1, - sym_argument_reference, - STATE(545), 1, - sym__expression, - STATE(1076), 1, - sym_order_by_clause_body, - STATE(53), 2, - sym_dotted_name, - sym__identifier, - STATE(52), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(706), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(137), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [17113] = 25, - ACTIONS(3), 1, - sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, - anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(893), 1, - sym__expression, - STATE(1076), 1, - sym_order_by_clause_body, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [17211] = 25, - ACTIONS(3), 1, - sym_comment, - ACTIONS(716), 1, - aux_sym_sequence_token2, - ACTIONS(718), 1, - aux_sym_null_hint_token3, - ACTIONS(720), 1, - anon_sym_LPAREN, - ACTIONS(722), 1, - aux_sym_TRUE_token1, - ACTIONS(724), 1, - aux_sym_FALSE_token1, - ACTIONS(726), 1, - aux_sym_number_token1, - ACTIONS(728), 1, - sym__unquoted_identifier, - ACTIONS(730), 1, - anon_sym_BQUOTE, - ACTIONS(732), 1, - anon_sym_DQUOTE, - ACTIONS(734), 1, - anon_sym_SQUOTE, - ACTIONS(736), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(740), 1, - anon_sym_DASH, - ACTIONS(742), 1, - anon_sym_STAR, - ACTIONS(744), 1, - aux_sym_interval_expression_token1, - ACTIONS(746), 1, - anon_sym_DOLLAR, - STATE(3), 1, - sym__quoted_identifier, - STATE(175), 1, - sym_identifier, - STATE(452), 1, - sym_argument_reference, - STATE(460), 1, - sym__expression, - STATE(1042), 1, - sym_group_by_clause_body, - STATE(451), 2, - sym_dotted_name, - sym__identifier, - STATE(450), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(738), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(458), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [17309] = 25, - ACTIONS(3), 1, - sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, - anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - ACTIONS(748), 1, - anon_sym_RPAREN, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(901), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [17407] = 25, - ACTIONS(3), 1, - sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, - anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - ACTIONS(750), 1, - anon_sym_RPAREN, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(869), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(451), 46, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [17505] = 25, - ACTIONS(3), 1, - sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, - anon_sym_DASH, - ACTIONS(491), 1, + anon_sym_CARET, anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - ACTIONS(752), 1, - anon_sym_RPAREN, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(890), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [17603] = 5, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [17520] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(754), 1, - anon_sym_DOT, - STATE(241), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(87), 7, + ACTIONS(457), 11, aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(85), 37, + ACTIONS(455), 46, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_LPAREN, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_LBRACK, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -29539,26 +40679,98 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [17661] = 5, + [17585] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(499), 1, + ACTIONS(678), 1, anon_sym_DOT, - STATE(250), 1, + ACTIONS(768), 1, + anon_sym_LPAREN, + ACTIONS(770), 1, + anon_sym_LBRACK, + STATE(251), 1, aux_sym_dotted_name_repeat1, - ACTIONS(79), 22, + ACTIONS(121), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(119), 44, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_RBRACK, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [17658] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(772), 1, + aux_sym_with_clause_token1, + ACTIONS(774), 1, anon_sym_LPAREN, + ACTIONS(776), 1, + aux_sym_type_token2, + ACTIONS(778), 1, + aux_sym_type_token3, + ACTIONS(143), 23, + ts_builtin_sym_end, + anon_sym_SEMI, anon_sym_COMMA, - anon_sym_RPAREN, + aux_sym_pg_command_token1, + anon_sym_EQ, anon_sym_BQUOTE, anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, @@ -29568,72 +40780,91 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - ACTIONS(81), 22, - aux_sym_sequence_token2, - aux_sym_sequence_token3, + ACTIONS(149), 30, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [17719] = 3, + [17731] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(111), 8, + ACTIONS(461), 11, aux_sym_create_function_parameter_token1, - anon_sym_DOT, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(109), 38, + ACTIONS(459), 46, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_LPAREN, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_LBRACK, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -29642,271 +40873,195 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [17773] = 25, + [17796] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(684), 1, - aux_sym_sequence_token2, - ACTIONS(686), 1, - aux_sym_null_hint_token3, - ACTIONS(688), 1, - anon_sym_LPAREN, - ACTIONS(690), 1, - aux_sym_TRUE_token1, - ACTIONS(692), 1, - aux_sym_FALSE_token1, - ACTIONS(694), 1, - aux_sym_number_token1, - ACTIONS(696), 1, - sym__unquoted_identifier, - ACTIONS(698), 1, - anon_sym_BQUOTE, - ACTIONS(700), 1, - anon_sym_DQUOTE, - ACTIONS(702), 1, - anon_sym_SQUOTE, - ACTIONS(704), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(708), 1, + ACTIONS(537), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(710), 1, - anon_sym_STAR, - ACTIONS(712), 1, - aux_sym_interval_expression_token1, - ACTIONS(714), 1, - anon_sym_DOLLAR, - ACTIONS(756), 1, - aux_sym_alter_table_action_alter_column_token3, - STATE(7), 1, - sym_identifier, - STATE(16), 1, - sym__quoted_identifier, - STATE(55), 1, - sym_argument_reference, - STATE(632), 1, - sym__expression, - STATE(53), 2, - sym_dotted_name, - sym__identifier, - STATE(52), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(706), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(137), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [17871] = 25, - ACTIONS(3), 1, - sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, - anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - ACTIONS(758), 1, - anon_sym_RPAREN, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(878), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(535), 46, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [17969] = 25, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [17861] = 16, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, - anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - ACTIONS(760), 1, - anon_sym_RPAREN, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(884), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, + ACTIONS(780), 1, + aux_sym_cte_token2, + ACTIONS(782), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(786), 1, + aux_sym_is_expression_token1, + ACTIONS(788), 1, + aux_sym_boolean_expression_token1, + ACTIONS(790), 1, + aux_sym_boolean_expression_token2, + ACTIONS(792), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(800), 1, + anon_sym_CARET, + ACTIONS(804), 1, + anon_sym_SLASH, + ACTIONS(619), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(794), 2, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_PIPE, + ACTIONS(796), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(798), 4, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [18067] = 5, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(802), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(784), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(617), 27, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + [17951] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(762), 1, - anon_sym_DOT, - STATE(248), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(87), 7, - aux_sym_sequence_token5, + ACTIONS(565), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(85), 37, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_null_hint_token2, - aux_sym_null_hint_token3, - aux_sym_create_function_parameter_token1, + ACTIONS(563), 45, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_LBRACK, - anon_sym_RBRACK, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -29915,94 +41070,110 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [18125] = 25, + [18015] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, + ACTIONS(792), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(557), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - ACTIONS(764), 1, - anon_sym_RPAREN, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(900), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [18223] = 3, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(555), 44, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [18081] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(77), 22, - aux_sym_sequence_token2, - aux_sym_sequence_token3, + ACTIONS(615), 11, aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(613), 45, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, @@ -30011,25 +41182,70 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [18145] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(573), 11, + aux_sym_create_function_parameter_token1, aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(75), 24, + ACTIONS(571), 45, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, anon_sym_DASH_GT_GT, - anon_sym_LBRACK, - anon_sym_COLON_COLON, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -30038,268 +41254,305 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [18277] = 25, + [18209] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, + ACTIONS(792), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(561), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(894), 1, - sym__expression, - STATE(1671), 1, - sym_values_clause_body, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [18375] = 25, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(559), 44, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [18275] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(684), 1, - aux_sym_sequence_token2, - ACTIONS(686), 1, - aux_sym_null_hint_token3, - ACTIONS(688), 1, - anon_sym_LPAREN, - ACTIONS(690), 1, - aux_sym_TRUE_token1, - ACTIONS(692), 1, - aux_sym_FALSE_token1, - ACTIONS(694), 1, - aux_sym_number_token1, - ACTIONS(696), 1, - sym__unquoted_identifier, - ACTIONS(698), 1, - anon_sym_BQUOTE, - ACTIONS(700), 1, - anon_sym_DQUOTE, - ACTIONS(702), 1, - anon_sym_SQUOTE, - ACTIONS(704), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(708), 1, + ACTIONS(806), 1, + anon_sym_DOT, + STATE(343), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(94), 11, + aux_sym_with_clause_token1, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(710), 1, - anon_sym_STAR, - ACTIONS(712), 1, - aux_sym_interval_expression_token1, - ACTIONS(714), 1, - anon_sym_DOLLAR, - ACTIONS(766), 1, - aux_sym_alter_table_action_alter_column_token3, - STATE(7), 1, - sym_identifier, - STATE(16), 1, - sym__quoted_identifier, - STATE(55), 1, - sym_argument_reference, - STATE(626), 1, - sym__expression, - STATE(53), 2, - sym_dotted_name, - sym__identifier, - STATE(52), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(706), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(137), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [18473] = 25, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(92), 43, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_cte_token2, + anon_sym_LPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [18343] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, + ACTIONS(340), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - ACTIONS(768), 1, - anon_sym_RPAREN, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(872), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(338), 45, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [18407] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(627), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [18571] = 3, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(625), 45, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [18471] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(111), 8, - aux_sym_sequence_token5, + ACTIONS(157), 12, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(109), 38, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_null_hint_token2, - aux_sym_null_hint_token3, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_LPAREN, + ACTIONS(155), 44, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, + aux_sym_cte_token2, + anon_sym_LPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_LBRACK, - anon_sym_RBRACK, + anon_sym_COLON_COLON, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -30308,6639 +41561,64677 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, anon_sym_DOT_STAR, - [18625] = 25, + [18535] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, + ACTIONS(809), 1, + aux_sym_with_clause_token1, + ACTIONS(811), 1, anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, + ACTIONS(813), 1, + aux_sym_type_token3, + ACTIONS(159), 23, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(481), 1, anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, - anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - ACTIONS(770), 1, - anon_sym_RPAREN, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(882), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(165), 30, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [18723] = 25, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [18605] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, + ACTIONS(639), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - ACTIONS(772), 1, - anon_sym_RPAREN, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(871), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(637), 45, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [18669] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(92), 24, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + ACTIONS(94), 32, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [18733] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(599), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(597), 45, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [18797] = 9, + ACTIONS(3), 1, + sym_comment, + ACTIONS(792), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(800), 1, + anon_sym_CARET, + ACTIONS(804), 1, + anon_sym_SLASH, + ACTIONS(794), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(796), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(802), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(583), 8, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(581), 36, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [18873] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(595), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(593), 45, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [18937] = 14, + ACTIONS(3), 1, + sym_comment, + ACTIONS(780), 1, + aux_sym_cte_token2, + ACTIONS(782), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(786), 1, + aux_sym_is_expression_token1, + ACTIONS(792), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(800), 1, + anon_sym_CARET, + ACTIONS(804), 1, + anon_sym_SLASH, + ACTIONS(794), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(796), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(591), 3, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(798), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(802), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(784), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(589), 28, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_boolean_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + [19023] = 15, + ACTIONS(3), 1, + sym_comment, + ACTIONS(780), 1, + aux_sym_cte_token2, + ACTIONS(782), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(786), 1, + aux_sym_is_expression_token1, + ACTIONS(788), 1, + aux_sym_boolean_expression_token1, + ACTIONS(792), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(800), 1, + anon_sym_CARET, + ACTIONS(804), 1, + anon_sym_SLASH, + ACTIONS(794), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(796), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(591), 3, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(798), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(802), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(784), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(589), 27, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + [19111] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(587), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(585), 45, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [19175] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(792), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(800), 1, + anon_sym_CARET, + ACTIONS(804), 1, + anon_sym_SLASH, + ACTIONS(802), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(583), 10, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(581), 38, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [19247] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(792), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(583), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(581), 44, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [19313] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(792), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(800), 1, + anon_sym_CARET, + ACTIONS(583), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(581), 43, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [19381] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(815), 1, + anon_sym_DOT, + STATE(343), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(115), 11, + aux_sym_with_clause_token1, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(113), 43, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_cte_token2, + anon_sym_LPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [19449] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(553), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(551), 45, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [19513] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(549), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(547), 45, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [19577] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(545), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(543), 45, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [19641] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(569), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(567), 45, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [19705] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(603), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(601), 45, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [19769] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(607), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(605), 45, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [19833] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(772), 1, + aux_sym_with_clause_token1, + ACTIONS(774), 1, + anon_sym_LPAREN, + ACTIONS(778), 1, + aux_sym_type_token3, + ACTIONS(143), 23, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(149), 30, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [19903] = 17, + ACTIONS(3), 1, + sym_comment, + ACTIONS(780), 1, + aux_sym_cte_token2, + ACTIONS(782), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(786), 1, + aux_sym_is_expression_token1, + ACTIONS(788), 1, + aux_sym_boolean_expression_token1, + ACTIONS(790), 1, + aux_sym_boolean_expression_token2, + ACTIONS(792), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(800), 1, + anon_sym_CARET, + ACTIONS(804), 1, + anon_sym_SLASH, + ACTIONS(794), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(796), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(819), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(821), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(798), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(802), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(784), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(817), 25, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + [19995] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(611), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(609), 45, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [20059] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(631), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(629), 45, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [20123] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(635), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(633), 45, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [20187] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(815), 1, + anon_sym_DOT, + STATE(359), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(121), 11, + aux_sym_with_clause_token1, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(119), 43, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_cte_token2, + anon_sym_LPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [20255] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(623), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(621), 45, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [20319] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(133), 12, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(131), 44, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_LPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [20383] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(297), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(295), 45, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [20447] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(316), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(314), 45, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [20511] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(823), 1, + aux_sym_with_clause_token1, + ACTIONS(825), 1, + anon_sym_LPAREN, + ACTIONS(827), 1, + aux_sym_type_token2, + ACTIONS(829), 1, + aux_sym_type_token3, + ACTIONS(149), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(143), 43, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [20583] = 17, + ACTIONS(3), 1, + sym_comment, + ACTIONS(780), 1, + aux_sym_cte_token2, + ACTIONS(782), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(786), 1, + aux_sym_is_expression_token1, + ACTIONS(788), 1, + aux_sym_boolean_expression_token1, + ACTIONS(790), 1, + aux_sym_boolean_expression_token2, + ACTIONS(792), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(800), 1, + anon_sym_CARET, + ACTIONS(804), 1, + anon_sym_SLASH, + ACTIONS(794), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(796), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(819), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(821), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(798), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(802), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(784), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(831), 25, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + [20675] = 32, + ACTIONS(3), 1, + sym_comment, + ACTIONS(833), 1, + aux_sym_with_clause_token1, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(841), 1, + aux_sym_grant_statement_token4, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1641), 1, + sym__expression, + STATE(1769), 1, + sym_select_clause, + STATE(1823), 1, + sym__select_statement, + STATE(2350), 1, + sym_with_clause, + STATE(2537), 1, + sym_select_statement, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [20796] = 32, + ACTIONS(3), 1, + sym_comment, + ACTIONS(833), 1, + aux_sym_with_clause_token1, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(841), 1, + aux_sym_grant_statement_token4, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1615), 1, + sym__expression, + STATE(1769), 1, + sym_select_clause, + STATE(1823), 1, + sym__select_statement, + STATE(2350), 1, + sym_with_clause, + STATE(2734), 1, + sym_select_statement, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [20917] = 32, + ACTIONS(3), 1, + sym_comment, + ACTIONS(833), 1, + aux_sym_with_clause_token1, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(841), 1, + aux_sym_grant_statement_token4, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1606), 1, + sym__expression, + STATE(1769), 1, + sym_select_clause, + STATE(1823), 1, + sym__select_statement, + STATE(2350), 1, + sym_with_clause, + STATE(2745), 1, + sym_select_statement, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [21038] = 32, + ACTIONS(3), 1, + sym_comment, + ACTIONS(833), 1, + aux_sym_with_clause_token1, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(841), 1, + aux_sym_grant_statement_token4, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1632), 1, + sym__expression, + STATE(1769), 1, + sym_select_clause, + STATE(1823), 1, + sym__select_statement, + STATE(2350), 1, + sym_with_clause, + STATE(2539), 1, + sym_select_statement, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [21159] = 32, + ACTIONS(3), 1, + sym_comment, + ACTIONS(833), 1, + aux_sym_with_clause_token1, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(841), 1, + aux_sym_grant_statement_token4, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1614), 1, + sym__expression, + STATE(1769), 1, + sym_select_clause, + STATE(1823), 1, + sym__select_statement, + STATE(2350), 1, + sym_with_clause, + STATE(2964), 1, + sym_select_statement, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [21280] = 32, + ACTIONS(3), 1, + sym_comment, + ACTIONS(833), 1, + aux_sym_with_clause_token1, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(841), 1, + aux_sym_grant_statement_token4, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1630), 1, + sym__expression, + STATE(1769), 1, + sym_select_clause, + STATE(1823), 1, + sym__select_statement, + STATE(2350), 1, + sym_with_clause, + STATE(2617), 1, + sym_select_statement, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [21401] = 32, + ACTIONS(3), 1, + sym_comment, + ACTIONS(833), 1, + aux_sym_with_clause_token1, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(841), 1, + aux_sym_grant_statement_token4, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1637), 1, + sym__expression, + STATE(1769), 1, + sym_select_clause, + STATE(1823), 1, + sym__select_statement, + STATE(2350), 1, + sym_with_clause, + STATE(3333), 1, + sym_select_statement, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [21522] = 32, + ACTIONS(3), 1, + sym_comment, + ACTIONS(833), 1, + aux_sym_with_clause_token1, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(841), 1, + aux_sym_grant_statement_token4, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1605), 1, + sym__expression, + STATE(1769), 1, + sym_select_clause, + STATE(1823), 1, + sym__select_statement, + STATE(2350), 1, + sym_with_clause, + STATE(2736), 1, + sym_select_statement, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [21643] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(873), 1, + anon_sym_LBRACK, + STATE(410), 1, + aux_sym_array_type_repeat1, + ACTIONS(328), 22, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(330), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [21710] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(875), 1, + anon_sym_LBRACK, + STATE(387), 1, + aux_sym_array_type_repeat1, + ACTIONS(81), 22, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(83), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [21777] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(133), 11, + aux_sym_with_clause_token1, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(131), 44, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_cte_token2, + anon_sym_LPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DOT, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [21840] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(878), 1, + aux_sym_with_clause_token1, + ACTIONS(880), 1, + anon_sym_LPAREN, + ACTIONS(882), 1, + aux_sym_type_token3, + ACTIONS(165), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(159), 43, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [21909] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(884), 1, + aux_sym_with_clause_token1, + ACTIONS(886), 1, + aux_sym_type_token3, + ACTIONS(238), 23, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(242), 30, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [21976] = 32, + ACTIONS(3), 1, + sym_comment, + ACTIONS(833), 1, + aux_sym_with_clause_token1, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(841), 1, + aux_sym_grant_statement_token4, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1650), 1, + sym__expression, + STATE(1769), 1, + sym_select_clause, + STATE(1823), 1, + sym__select_statement, + STATE(2350), 1, + sym_with_clause, + STATE(3157), 1, + sym_select_statement, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [22097] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(94), 11, + aux_sym_with_clause_token1, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(92), 44, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_cte_token2, + anon_sym_LPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DOT, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [22160] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(157), 11, + aux_sym_with_clause_token1, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(155), 44, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_cte_token2, + anon_sym_LPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DOT, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [22223] = 32, + ACTIONS(3), 1, + sym_comment, + ACTIONS(833), 1, + aux_sym_with_clause_token1, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(841), 1, + aux_sym_grant_statement_token4, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1628), 1, + sym__expression, + STATE(1769), 1, + sym_select_clause, + STATE(1823), 1, + sym__select_statement, + STATE(2350), 1, + sym_with_clause, + STATE(2775), 1, + sym_select_statement, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [22344] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(888), 1, + anon_sym_DOT, + STATE(398), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(119), 22, + anon_sym_COMMA, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(121), 31, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [22411] = 24, + ACTIONS(3), 1, + sym_comment, + ACTIONS(352), 1, + aux_sym_cte_token1, + ACTIONS(368), 1, + sym__unquoted_identifier, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(890), 1, + aux_sym_cte_token2, + ACTIONS(892), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(896), 1, + aux_sym_is_expression_token1, + ACTIONS(898), 1, + aux_sym_boolean_expression_token1, + ACTIONS(900), 1, + aux_sym_boolean_expression_token2, + ACTIONS(902), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(914), 1, + anon_sym_CARET, + ACTIONS(918), 1, + anon_sym_SLASH, + STATE(113), 1, + sym__quoted_identifier, + STATE(1669), 1, + sym_identifier, + ACTIONS(904), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(906), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(908), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(910), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(348), 4, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + ACTIONS(912), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(916), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(894), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(350), 14, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + [22516] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(920), 1, + anon_sym_DOT, + STATE(397), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(92), 22, + anon_sym_COMMA, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(94), 31, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [22583] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(888), 1, + anon_sym_DOT, + STATE(397), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(113), 22, + anon_sym_COMMA, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(115), 31, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [22650] = 32, + ACTIONS(3), 1, + sym_comment, + ACTIONS(833), 1, + aux_sym_with_clause_token1, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(841), 1, + aux_sym_grant_statement_token4, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1624), 1, + sym__expression, + STATE(1769), 1, + sym_select_clause, + STATE(1823), 1, + sym__select_statement, + STATE(2350), 1, + sym_with_clause, + STATE(2977), 1, + sym_select_statement, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [22771] = 32, + ACTIONS(3), 1, + sym_comment, + ACTIONS(833), 1, + aux_sym_with_clause_token1, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(841), 1, + aux_sym_grant_statement_token4, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1601), 1, + sym__expression, + STATE(1769), 1, + sym_select_clause, + STATE(1823), 1, + sym__select_statement, + STATE(2350), 1, + sym_with_clause, + STATE(2664), 1, + sym_select_statement, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [22892] = 32, + ACTIONS(3), 1, + sym_comment, + ACTIONS(833), 1, + aux_sym_with_clause_token1, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(841), 1, + aux_sym_grant_statement_token4, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1631), 1, + sym__expression, + STATE(1769), 1, + sym_select_clause, + STATE(1823), 1, + sym__select_statement, + STATE(2350), 1, + sym_with_clause, + STATE(2574), 1, + sym_select_statement, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [23013] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(823), 1, + aux_sym_with_clause_token1, + ACTIONS(825), 1, + anon_sym_LPAREN, + ACTIONS(829), 1, + aux_sym_type_token3, + ACTIONS(149), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(143), 43, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [23082] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(923), 1, + anon_sym_COLON_COLON, + ACTIONS(925), 1, + anon_sym_DOT_STAR, + ACTIONS(338), 22, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(340), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [23149] = 32, + ACTIONS(3), 1, + sym_comment, + ACTIONS(833), 1, + aux_sym_with_clause_token1, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(841), 1, + aux_sym_grant_statement_token4, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1629), 1, + sym__expression, + STATE(1769), 1, + sym_select_clause, + STATE(1823), 1, + sym__select_statement, + STATE(2350), 1, + sym_with_clause, + STATE(2612), 1, + sym_select_statement, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [23270] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(751), 1, + anon_sym_DOT, + STATE(409), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(115), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(113), 42, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [23337] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(927), 1, + aux_sym_with_clause_token1, + ACTIONS(929), 1, + aux_sym_type_token3, + ACTIONS(209), 23, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(213), 30, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [23404] = 32, + ACTIONS(3), 1, + sym_comment, + ACTIONS(833), 1, + aux_sym_with_clause_token1, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(841), 1, + aux_sym_grant_statement_token4, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1652), 1, + sym__expression, + STATE(1769), 1, + sym_select_clause, + STATE(1823), 1, + sym__select_statement, + STATE(2350), 1, + sym_with_clause, + STATE(2652), 1, + sym_select_statement, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [23525] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(931), 1, + aux_sym_with_clause_token1, + ACTIONS(933), 1, + aux_sym_type_token3, + ACTIONS(191), 23, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(195), 30, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [23592] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(935), 1, + anon_sym_DOT, + STATE(409), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(94), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(92), 42, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [23659] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(873), 1, + anon_sym_LBRACK, + STATE(387), 1, + aux_sym_array_type_repeat1, + ACTIONS(75), 22, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(77), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [23726] = 32, + ACTIONS(3), 1, + sym_comment, + ACTIONS(833), 1, + aux_sym_with_clause_token1, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(841), 1, + aux_sym_grant_statement_token4, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1626), 1, + sym__expression, + STATE(1769), 1, + sym_select_clause, + STATE(1823), 1, + sym__select_statement, + STATE(2350), 1, + sym_with_clause, + STATE(2693), 1, + sym_select_statement, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [23847] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(938), 1, + anon_sym_LPAREN, + ACTIONS(940), 1, + anon_sym_DOT, + ACTIONS(942), 1, + anon_sym_LBRACK, + STATE(449), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(121), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(119), 41, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [23918] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(406), 23, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(408), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [23980] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(258), 23, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(260), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [24042] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(238), 23, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(242), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [24104] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(88), 23, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(90), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [24166] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(944), 1, + aux_sym_with_clause_token1, + ACTIONS(946), 1, + aux_sym_type_token3, + ACTIONS(195), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(191), 43, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [24232] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(280), 23, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(282), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [24294] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(535), 23, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(537), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [24356] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(948), 1, + aux_sym_with_clause_token1, + ACTIONS(950), 1, + aux_sym_type_token3, + ACTIONS(213), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(209), 43, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [24422] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(455), 23, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(457), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [24484] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(459), 23, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(461), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [24546] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(952), 1, + anon_sym_DOT, + STATE(444), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(113), 22, + anon_sym_COMMA, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(115), 30, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [24612] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(157), 11, + aux_sym_create_function_parameter_token1, + anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(155), 43, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + anon_sym_LPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [24674] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(92), 23, + anon_sym_COMMA, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DOT, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(94), 31, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [24736] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(291), 23, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(293), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [24798] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(270), 23, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(272), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [24860] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(307), 23, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(309), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [24922] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(539), 23, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(541), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [24984] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(250), 23, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(252), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [25046] = 8, + ACTIONS(3), 1, + sym_comment, + ACTIONS(954), 1, + aux_sym_with_clause_token1, + ACTIONS(956), 1, + anon_sym_LPAREN, + ACTIONS(958), 1, + aux_sym_type_token1, + ACTIONS(960), 1, + aux_sym_type_token2, + ACTIONS(962), 1, + aux_sym_type_token3, + ACTIONS(105), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(99), 39, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [25118] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(254), 23, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(256), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [25180] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(81), 23, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(83), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [25242] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(191), 23, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(195), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [25304] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(246), 23, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(248), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [25366] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(133), 11, + aux_sym_create_function_parameter_token1, + anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(131), 43, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + anon_sym_LPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [25428] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(284), 23, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(286), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [25490] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(952), 1, + anon_sym_DOT, + STATE(423), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(119), 22, + anon_sym_COMMA, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(121), 30, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [25556] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(209), 23, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(213), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [25618] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(94), 12, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(92), 42, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [25680] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(155), 23, + anon_sym_COMMA, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DOT, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(157), 31, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [25742] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(131), 23, + anon_sym_COMMA, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DOT, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(133), 31, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [25804] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(964), 1, + aux_sym_with_clause_token1, + ACTIONS(966), 1, + aux_sym_type_token3, + ACTIONS(242), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(238), 43, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [25870] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(968), 1, + anon_sym_DOT, + STATE(444), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(92), 22, + anon_sym_COMMA, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(94), 30, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [25936] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(702), 1, + anon_sym_LBRACK, + ACTIONS(338), 22, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(340), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [26000] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(451), 23, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(453), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [26062] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(923), 1, + anon_sym_COLON_COLON, + ACTIONS(338), 22, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(340), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [26126] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(543), 22, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(545), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [26187] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(940), 1, + anon_sym_DOT, + STATE(468), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(115), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(113), 41, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [26252] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(563), 22, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(565), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [26313] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(971), 1, + anon_sym_COLON_COLON, + ACTIONS(973), 1, + anon_sym_DOT_STAR, + ACTIONS(340), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(338), 40, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [26378] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(338), 22, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(340), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [26439] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(625), 22, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(627), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [26500] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(92), 23, + anon_sym_COMMA, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DOT, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(94), 30, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [26561] = 8, + ACTIONS(3), 1, + sym_comment, + ACTIONS(975), 1, + aux_sym_with_clause_token1, + ACTIONS(977), 1, + anon_sym_LPAREN, + ACTIONS(979), 1, + aux_sym_type_token1, + ACTIONS(981), 1, + aux_sym_type_token2, + ACTIONS(983), 1, + aux_sym_type_token3, + ACTIONS(99), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(105), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [26632] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(567), 22, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(569), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [26693] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(985), 1, + anon_sym_COLON_COLON, + ACTIONS(987), 1, + anon_sym_DOT_STAR, + ACTIONS(340), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(338), 42, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [26758] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(637), 22, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(639), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [26819] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(613), 22, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(615), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [26880] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(902), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(555), 22, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(557), 30, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [26943] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(989), 1, + anon_sym_LBRACK, + STATE(461), 1, + aux_sym_array_type_repeat1, + ACTIONS(83), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(81), 42, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [27008] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(605), 22, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(607), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [27069] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(597), 22, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(599), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [27130] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(902), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(914), 1, + anon_sym_CARET, + ACTIONS(581), 21, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(583), 30, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [27195] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(155), 23, + anon_sym_COMMA, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DOT, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(157), 30, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [27256] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(992), 1, + anon_sym_LBRACK, + STATE(461), 1, + aux_sym_array_type_repeat1, + ACTIONS(77), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(75), 42, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [27321] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(571), 22, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(573), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [27382] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(994), 1, + anon_sym_DOT, + STATE(468), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(94), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(92), 41, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [27447] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(902), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(581), 22, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(583), 30, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [27510] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(551), 22, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(553), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [27571] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(992), 1, + anon_sym_LBRACK, + STATE(466), 1, + aux_sym_array_type_repeat1, + ACTIONS(330), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(328), 42, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [27636] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(902), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(914), 1, + anon_sym_CARET, + ACTIONS(918), 1, + anon_sym_SLASH, + ACTIONS(916), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(581), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(583), 29, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [27705] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(997), 1, + anon_sym_LPAREN, + ACTIONS(999), 1, + anon_sym_DOT, + ACTIONS(1001), 1, + anon_sym_LBRACK, + STATE(587), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(119), 22, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + ACTIONS(121), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [27774] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(547), 22, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(549), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [27835] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(609), 22, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(611), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [27896] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(295), 22, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(297), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [27957] = 16, + ACTIONS(3), 1, + sym_comment, + ACTIONS(890), 1, + aux_sym_cte_token2, + ACTIONS(892), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(896), 1, + aux_sym_is_expression_token1, + ACTIONS(898), 1, + aux_sym_boolean_expression_token1, + ACTIONS(900), 1, + aux_sym_boolean_expression_token2, + ACTIONS(902), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(914), 1, + anon_sym_CARET, + ACTIONS(918), 1, + anon_sym_SLASH, + ACTIONS(908), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(910), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(912), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(916), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(894), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(617), 8, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(619), 18, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + [28044] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(633), 22, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(635), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [28105] = 14, + ACTIONS(3), 1, + sym_comment, + ACTIONS(890), 1, + aux_sym_cte_token2, + ACTIONS(892), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(896), 1, + aux_sym_is_expression_token1, + ACTIONS(902), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(914), 1, + anon_sym_CARET, + ACTIONS(918), 1, + anon_sym_SLASH, + ACTIONS(908), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(910), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(912), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(916), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(894), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(589), 8, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(591), 20, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + [28188] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(621), 22, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(623), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [28249] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(131), 23, + anon_sym_COMMA, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DOT, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(133), 30, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [28310] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1003), 1, + aux_sym_with_clause_token1, + ACTIONS(1005), 1, + anon_sym_LPAREN, + ACTIONS(1007), 1, + aux_sym_type_token2, + ACTIONS(1009), 1, + aux_sym_type_token3, + ACTIONS(149), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(143), 39, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [28379] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(585), 22, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(587), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [28440] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(629), 22, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(631), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [28501] = 9, + ACTIONS(3), 1, + sym_comment, + ACTIONS(902), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(914), 1, + anon_sym_CARET, + ACTIONS(918), 1, + anon_sym_SLASH, + ACTIONS(908), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(910), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(916), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(581), 14, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(583), 27, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [28574] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(314), 22, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(316), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [28635] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(593), 22, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(595), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [28696] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(902), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(559), 22, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(561), 30, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [28759] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(601), 22, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(603), 31, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_create_function_parameter_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [28820] = 15, + ACTIONS(3), 1, + sym_comment, + ACTIONS(890), 1, + aux_sym_cte_token2, + ACTIONS(892), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(896), 1, + aux_sym_is_expression_token1, + ACTIONS(898), 1, + aux_sym_boolean_expression_token1, + ACTIONS(902), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(914), 1, + anon_sym_CARET, + ACTIONS(918), 1, + anon_sym_SLASH, + ACTIONS(908), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(910), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(912), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(916), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(894), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(589), 8, + ts_builtin_sym_end, + anon_sym_SEMI, + anon_sym_COMMA, + aux_sym_pg_command_token1, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(591), 19, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_boolean_expression_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + [28905] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(248), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(246), 43, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [28965] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(256), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(254), 43, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [29025] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1011), 1, + aux_sym_with_clause_token1, + ACTIONS(1013), 1, + anon_sym_LPAREN, + ACTIONS(1015), 1, + aux_sym_type_token2, + ACTIONS(1017), 1, + aux_sym_type_token3, + ACTIONS(143), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(149), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [29093] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(457), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(455), 43, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_RBRACK, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [29153] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(90), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(88), 43, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [29213] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(309), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(307), 43, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [29273] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(408), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(406), 43, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_RBRACK, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [29333] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(541), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(539), 41, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [29393] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(453), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(451), 43, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_RBRACK, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [29453] = 19, + ACTIONS(3), 1, + sym_comment, + ACTIONS(641), 1, + aux_sym_cte_token2, + ACTIONS(643), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(647), 1, + aux_sym_is_expression_token1, + ACTIONS(649), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(657), 1, + anon_sym_CARET, + ACTIONS(661), 1, + anon_sym_SLASH, + ACTIONS(676), 1, + aux_sym_boolean_expression_token1, + ACTIONS(680), 1, + aux_sym_boolean_expression_token2, + ACTIONS(1021), 1, + anon_sym_COMMA, + STATE(1739), 1, + aux_sym_group_by_clause_body_repeat1, + ACTIONS(651), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(653), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(745), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(747), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(655), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(659), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(645), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(1019), 19, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_limit_clause_token1, + [29545] = 8, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1023), 1, + aux_sym_with_clause_token1, + ACTIONS(1025), 1, + anon_sym_LPAREN, + ACTIONS(1027), 1, + aux_sym_type_token1, + ACTIONS(1029), 1, + aux_sym_type_token2, + ACTIONS(1031), 1, + aux_sym_type_token3, + ACTIONS(99), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(105), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [29615] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(541), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(539), 43, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [29675] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(83), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(81), 43, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [29735] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(131), 24, + anon_sym_COMMA, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + ACTIONS(133), 28, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [29795] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(94), 11, + aux_sym_create_function_parameter_token1, + anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(92), 41, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [29855] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(242), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(238), 43, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [29915] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1033), 1, + aux_sym_with_clause_token1, + ACTIONS(1035), 1, + anon_sym_LPAREN, + ACTIONS(1037), 1, + aux_sym_type_token3, + ACTIONS(165), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(159), 39, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [29981] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(537), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(535), 43, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_RBRACK, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [30041] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(316), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(314), 41, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_limit_clause_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [30101] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(985), 1, + anon_sym_COLON_COLON, + ACTIONS(340), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(338), 42, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [30163] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(252), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(250), 43, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [30223] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(293), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(291), 43, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [30283] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(316), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(314), 43, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_limit_clause_token2, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [30343] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1039), 1, + anon_sym_LPAREN, + ACTIONS(1041), 1, + anon_sym_DOT, + ACTIONS(1043), 1, + anon_sym_LBRACK, + STATE(675), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(119), 22, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + ACTIONS(121), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [30411] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(971), 1, + anon_sym_COLON_COLON, + ACTIONS(340), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(338), 40, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [30473] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(461), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(459), 43, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_RBRACK, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [30533] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1003), 1, + aux_sym_with_clause_token1, + ACTIONS(1005), 1, + anon_sym_LPAREN, + ACTIONS(1009), 1, + aux_sym_type_token3, + ACTIONS(149), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(143), 39, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [30599] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(753), 1, + anon_sym_LBRACK, + ACTIONS(340), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(338), 40, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [30661] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(155), 24, + anon_sym_COMMA, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + ACTIONS(157), 28, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [30721] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(272), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(270), 43, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [30781] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(770), 1, + anon_sym_LBRACK, + ACTIONS(340), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(338), 42, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [30843] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(213), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(209), 43, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [30903] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(286), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(284), 43, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [30963] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(260), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(258), 43, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [31023] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(195), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(191), 43, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [31083] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(282), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(280), 43, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [31143] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(607), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(605), 42, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [31202] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(549), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(547), 40, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [31261] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(340), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(338), 42, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [31320] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(627), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(625), 42, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [31379] = 27, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1045), 1, + aux_sym_cte_token2, + ACTIONS(1047), 1, + anon_sym_LPAREN, + ACTIONS(1049), 1, + aux_sym_null_hint_token3, + ACTIONS(1051), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1053), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1055), 1, + aux_sym_TRUE_token1, + ACTIONS(1057), 1, + aux_sym_FALSE_token1, + ACTIONS(1059), 1, + aux_sym_number_token1, + ACTIONS(1061), 1, + sym__unquoted_identifier, + ACTIONS(1063), 1, + anon_sym_BQUOTE, + ACTIONS(1065), 1, + anon_sym_DQUOTE, + ACTIONS(1067), 1, + anon_sym_SQUOTE, + ACTIONS(1069), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1073), 1, + anon_sym_DASH, + ACTIONS(1075), 1, + anon_sym_STAR, + ACTIONS(1077), 1, + aux_sym_interval_expression_token1, + ACTIONS(1079), 1, + anon_sym_DOLLAR, + STATE(1131), 1, + sym_identifier, + STATE(1209), 1, + sym__quoted_identifier, + STATE(1291), 1, + sym__expression, + STATE(1402), 1, + sym_argument_reference, + STATE(1289), 2, + sym_dotted_name, + sym__identifier, + STATE(2903), 2, + sym__aliased_expression, + sym__aliasable_expression, + STATE(1401), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1071), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1457), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [31486] = 27, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1045), 1, + aux_sym_cte_token2, + ACTIONS(1047), 1, + anon_sym_LPAREN, + ACTIONS(1049), 1, + aux_sym_null_hint_token3, + ACTIONS(1051), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1053), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1055), 1, + aux_sym_TRUE_token1, + ACTIONS(1057), 1, + aux_sym_FALSE_token1, + ACTIONS(1059), 1, + aux_sym_number_token1, + ACTIONS(1061), 1, + sym__unquoted_identifier, + ACTIONS(1063), 1, + anon_sym_BQUOTE, + ACTIONS(1065), 1, + anon_sym_DQUOTE, + ACTIONS(1067), 1, + anon_sym_SQUOTE, + ACTIONS(1069), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1073), 1, + anon_sym_DASH, + ACTIONS(1075), 1, + anon_sym_STAR, + ACTIONS(1077), 1, + aux_sym_interval_expression_token1, + ACTIONS(1079), 1, + anon_sym_DOLLAR, + STATE(1131), 1, + sym_identifier, + STATE(1209), 1, + sym__quoted_identifier, + STATE(1291), 1, + sym__expression, + STATE(1402), 1, + sym_argument_reference, + STATE(1289), 2, + sym_dotted_name, + sym__identifier, + STATE(2863), 2, + sym__aliased_expression, + sym__aliasable_expression, + STATE(1401), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1071), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1457), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [31593] = 27, + ACTIONS(3), 1, + sym_comment, + ACTIONS(491), 1, + aux_sym_cte_token2, + ACTIONS(493), 1, + anon_sym_LPAREN, + ACTIONS(495), 1, + aux_sym_null_hint_token3, + ACTIONS(497), 1, + aux_sym_select_subexpression_token1, + ACTIONS(499), 1, + aux_sym_conditional_expression_token1, + ACTIONS(501), 1, + aux_sym_TRUE_token1, + ACTIONS(503), 1, + aux_sym_FALSE_token1, + ACTIONS(505), 1, + aux_sym_number_token1, + ACTIONS(507), 1, + sym__unquoted_identifier, + ACTIONS(509), 1, + anon_sym_BQUOTE, + ACTIONS(511), 1, + anon_sym_DQUOTE, + ACTIONS(513), 1, + anon_sym_SQUOTE, + ACTIONS(515), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(519), 1, + anon_sym_DASH, + ACTIONS(521), 1, + anon_sym_STAR, + ACTIONS(523), 1, + aux_sym_interval_expression_token1, + ACTIONS(525), 1, + anon_sym_DOLLAR, + STATE(473), 1, + sym_identifier, + STATE(504), 1, + sym__quoted_identifier, + STATE(712), 1, + sym__expression, + STATE(961), 1, + sym_argument_reference, + STATE(862), 2, + sym_dotted_name, + sym__identifier, + STATE(1678), 2, + sym__aliased_expression, + sym__aliasable_expression, + STATE(962), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(517), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1000), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [31700] = 27, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1081), 1, + aux_sym_cte_token2, + ACTIONS(1083), 1, + anon_sym_LPAREN, + ACTIONS(1085), 1, + aux_sym_null_hint_token3, + ACTIONS(1087), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1089), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1091), 1, + aux_sym_TRUE_token1, + ACTIONS(1093), 1, + aux_sym_FALSE_token1, + ACTIONS(1095), 1, + aux_sym_number_token1, + ACTIONS(1097), 1, + sym__unquoted_identifier, + ACTIONS(1099), 1, + anon_sym_BQUOTE, + ACTIONS(1101), 1, + anon_sym_DQUOTE, + ACTIONS(1103), 1, + anon_sym_SQUOTE, + ACTIONS(1105), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1109), 1, + anon_sym_DASH, + ACTIONS(1111), 1, + anon_sym_STAR, + ACTIONS(1113), 1, + aux_sym_interval_expression_token1, + ACTIONS(1115), 1, + anon_sym_DOLLAR, + STATE(1098), 1, + sym_identifier, + STATE(1112), 1, + sym__quoted_identifier, + STATE(1211), 1, + sym__expression, + STATE(1268), 1, + sym_argument_reference, + STATE(1228), 2, + sym_dotted_name, + sym__identifier, + STATE(2218), 2, + sym__aliased_expression, + sym__aliasable_expression, + STATE(1267), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1107), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1334), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [31807] = 27, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1117), 1, + aux_sym_cte_token2, + ACTIONS(1119), 1, + anon_sym_LPAREN, + ACTIONS(1121), 1, + aux_sym_null_hint_token3, + ACTIONS(1123), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1125), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1127), 1, + aux_sym_TRUE_token1, + ACTIONS(1129), 1, + aux_sym_FALSE_token1, + ACTIONS(1131), 1, + aux_sym_number_token1, + ACTIONS(1133), 1, + sym__unquoted_identifier, + ACTIONS(1135), 1, + anon_sym_BQUOTE, + ACTIONS(1137), 1, + anon_sym_DQUOTE, + ACTIONS(1139), 1, + anon_sym_SQUOTE, + ACTIONS(1141), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1145), 1, + anon_sym_DASH, + ACTIONS(1147), 1, + anon_sym_STAR, + ACTIONS(1149), 1, + aux_sym_interval_expression_token1, + ACTIONS(1151), 1, + anon_sym_DOLLAR, + STATE(38), 1, + sym_identifier, + STATE(45), 1, + sym__quoted_identifier, + STATE(92), 1, + sym__expression, + STATE(199), 1, + sym_argument_reference, + STATE(93), 2, + sym_dotted_name, + sym__identifier, + STATE(1678), 2, + sym__aliased_expression, + sym__aliasable_expression, + STATE(213), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1143), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(222), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [31914] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(631), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(629), 42, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [31973] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(635), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(633), 42, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [32032] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(297), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(295), 42, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [32091] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(549), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(547), 42, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [32150] = 9, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1153), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1159), 1, + anon_sym_CARET, + ACTIONS(1163), 1, + anon_sym_SLASH, + ACTIONS(1155), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1157), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1161), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(583), 8, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(581), 31, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [32221] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(545), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(543), 42, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [32280] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(611), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(609), 40, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [32339] = 27, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1165), 1, + aux_sym_cte_token2, + ACTIONS(1167), 1, + anon_sym_LPAREN, + ACTIONS(1169), 1, + aux_sym_null_hint_token3, + ACTIONS(1171), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1173), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1175), 1, + aux_sym_TRUE_token1, + ACTIONS(1177), 1, + aux_sym_FALSE_token1, + ACTIONS(1179), 1, + aux_sym_number_token1, + ACTIONS(1181), 1, + sym__unquoted_identifier, + ACTIONS(1183), 1, + anon_sym_BQUOTE, + ACTIONS(1185), 1, + anon_sym_DQUOTE, + ACTIONS(1187), 1, + anon_sym_SQUOTE, + ACTIONS(1189), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1193), 1, + anon_sym_DASH, + ACTIONS(1195), 1, + anon_sym_STAR, + ACTIONS(1197), 1, + aux_sym_interval_expression_token1, + ACTIONS(1199), 1, + anon_sym_DOLLAR, + STATE(514), 1, + sym_identifier, + STATE(549), 1, + sym__quoted_identifier, + STATE(978), 1, + sym__expression, + STATE(999), 1, + sym_argument_reference, + STATE(979), 2, + sym_dotted_name, + sym__identifier, + STATE(1678), 2, + sym__aliased_expression, + sym__aliasable_expression, + STATE(996), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1191), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1065), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [32446] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(569), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(567), 42, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [32505] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(639), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(637), 40, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [32564] = 17, + ACTIONS(3), 1, + sym_comment, + ACTIONS(641), 1, + aux_sym_cte_token2, + ACTIONS(643), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(647), 1, + aux_sym_is_expression_token1, + ACTIONS(649), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(657), 1, + anon_sym_CARET, + ACTIONS(661), 1, + anon_sym_SLASH, + ACTIONS(676), 1, + aux_sym_boolean_expression_token1, + ACTIONS(680), 1, + aux_sym_boolean_expression_token2, + ACTIONS(651), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(653), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(745), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(747), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(655), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(659), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(645), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(1201), 20, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_limit_clause_token1, + [32651] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(583), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(581), 40, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [32714] = 27, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1207), 1, + aux_sym_cte_token2, + ACTIONS(1209), 1, + anon_sym_LPAREN, + ACTIONS(1211), 1, + aux_sym_null_hint_token3, + ACTIONS(1213), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1215), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1217), 1, + aux_sym_TRUE_token1, + ACTIONS(1219), 1, + aux_sym_FALSE_token1, + ACTIONS(1221), 1, + aux_sym_number_token1, + ACTIONS(1223), 1, + sym__unquoted_identifier, + ACTIONS(1225), 1, + anon_sym_BQUOTE, + ACTIONS(1227), 1, + anon_sym_DQUOTE, + ACTIONS(1229), 1, + anon_sym_SQUOTE, + ACTIONS(1231), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1235), 1, + anon_sym_DASH, + ACTIONS(1237), 1, + anon_sym_STAR, + ACTIONS(1239), 1, + aux_sym_interval_expression_token1, + ACTIONS(1241), 1, + anon_sym_DOLLAR, + STATE(292), 1, + sym_identifier, + STATE(301), 1, + sym__quoted_identifier, + STATE(396), 1, + sym__expression, + STATE(445), 1, + sym_argument_reference, + STATE(403), 2, + sym_dotted_name, + sym__identifier, + STATE(1775), 2, + sym__aliased_expression, + sym__aliasable_expression, + STATE(447), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1233), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(452), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [32821] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(131), 24, + anon_sym_COMMA, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + ACTIONS(133), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [32880] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(615), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(613), 40, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [32939] = 27, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1081), 1, + aux_sym_cte_token2, + ACTIONS(1083), 1, + anon_sym_LPAREN, + ACTIONS(1085), 1, + aux_sym_null_hint_token3, + ACTIONS(1087), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1089), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1091), 1, + aux_sym_TRUE_token1, + ACTIONS(1093), 1, + aux_sym_FALSE_token1, + ACTIONS(1095), 1, + aux_sym_number_token1, + ACTIONS(1097), 1, + sym__unquoted_identifier, + ACTIONS(1099), 1, + anon_sym_BQUOTE, + ACTIONS(1101), 1, + anon_sym_DQUOTE, + ACTIONS(1103), 1, + anon_sym_SQUOTE, + ACTIONS(1105), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1109), 1, + anon_sym_DASH, + ACTIONS(1111), 1, + anon_sym_STAR, + ACTIONS(1113), 1, + aux_sym_interval_expression_token1, + ACTIONS(1115), 1, + anon_sym_DOLLAR, + STATE(1098), 1, + sym_identifier, + STATE(1112), 1, + sym__quoted_identifier, + STATE(1211), 1, + sym__expression, + STATE(1268), 1, + sym_argument_reference, + STATE(1228), 2, + sym_dotted_name, + sym__identifier, + STATE(1678), 2, + sym__aliased_expression, + sym__aliasable_expression, + STATE(1267), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1107), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1334), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [33046] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(155), 24, + anon_sym_COMMA, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + ACTIONS(157), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [33105] = 27, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1117), 1, + aux_sym_cte_token2, + ACTIONS(1119), 1, + anon_sym_LPAREN, + ACTIONS(1121), 1, + aux_sym_null_hint_token3, + ACTIONS(1123), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1125), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1127), 1, + aux_sym_TRUE_token1, + ACTIONS(1129), 1, + aux_sym_FALSE_token1, + ACTIONS(1131), 1, + aux_sym_number_token1, + ACTIONS(1133), 1, + sym__unquoted_identifier, + ACTIONS(1135), 1, + anon_sym_BQUOTE, + ACTIONS(1137), 1, + anon_sym_DQUOTE, + ACTIONS(1139), 1, + anon_sym_SQUOTE, + ACTIONS(1141), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1145), 1, + anon_sym_DASH, + ACTIONS(1147), 1, + anon_sym_STAR, + ACTIONS(1149), 1, + aux_sym_interval_expression_token1, + ACTIONS(1151), 1, + anon_sym_DOLLAR, + STATE(38), 1, + sym_identifier, + STATE(45), 1, + sym__quoted_identifier, + STATE(92), 1, + sym__expression, + STATE(199), 1, + sym_argument_reference, + STATE(93), 2, + sym_dotted_name, + sym__identifier, + STATE(1691), 2, + sym__aliased_expression, + sym__aliasable_expression, + STATE(213), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1143), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(222), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [33212] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1011), 1, + aux_sym_with_clause_token1, + ACTIONS(1013), 1, + anon_sym_LPAREN, + ACTIONS(1017), 1, + aux_sym_type_token3, + ACTIONS(143), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(149), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [33277] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(583), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(581), 41, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [33338] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(599), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(597), 40, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [33397] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1243), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(583), 8, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(581), 35, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [33464] = 16, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1153), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1159), 1, + anon_sym_CARET, + ACTIONS(1163), 1, + anon_sym_SLASH, + ACTIONS(1247), 1, + aux_sym_cte_token2, + ACTIONS(1249), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1253), 1, + aux_sym_is_expression_token1, + ACTIONS(1255), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1257), 1, + aux_sym_boolean_expression_token2, + ACTIONS(619), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1155), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1157), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1259), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1161), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(1251), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(617), 22, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + [33549] = 27, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1165), 1, + aux_sym_cte_token2, + ACTIONS(1167), 1, + anon_sym_LPAREN, + ACTIONS(1169), 1, + aux_sym_null_hint_token3, + ACTIONS(1171), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1173), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1175), 1, + aux_sym_TRUE_token1, + ACTIONS(1177), 1, + aux_sym_FALSE_token1, + ACTIONS(1179), 1, + aux_sym_number_token1, + ACTIONS(1181), 1, + sym__unquoted_identifier, + ACTIONS(1183), 1, + anon_sym_BQUOTE, + ACTIONS(1185), 1, + anon_sym_DQUOTE, + ACTIONS(1187), 1, + anon_sym_SQUOTE, + ACTIONS(1189), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1193), 1, + anon_sym_DASH, + ACTIONS(1195), 1, + anon_sym_STAR, + ACTIONS(1197), 1, + aux_sym_interval_expression_token1, + ACTIONS(1199), 1, + anon_sym_DOLLAR, + STATE(514), 1, + sym_identifier, + STATE(549), 1, + sym__quoted_identifier, + STATE(978), 1, + sym__expression, + STATE(999), 1, + sym_argument_reference, + STATE(979), 2, + sym_dotted_name, + sym__identifier, + STATE(1929), 2, + sym__aliased_expression, + sym__aliasable_expression, + STATE(996), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1191), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1065), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [33656] = 27, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1045), 1, + aux_sym_cte_token2, + ACTIONS(1047), 1, + anon_sym_LPAREN, + ACTIONS(1049), 1, + aux_sym_null_hint_token3, + ACTIONS(1051), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1053), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1055), 1, + aux_sym_TRUE_token1, + ACTIONS(1057), 1, + aux_sym_FALSE_token1, + ACTIONS(1059), 1, + aux_sym_number_token1, + ACTIONS(1061), 1, + sym__unquoted_identifier, + ACTIONS(1063), 1, + anon_sym_BQUOTE, + ACTIONS(1065), 1, + anon_sym_DQUOTE, + ACTIONS(1067), 1, + anon_sym_SQUOTE, + ACTIONS(1069), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1073), 1, + anon_sym_DASH, + ACTIONS(1075), 1, + anon_sym_STAR, + ACTIONS(1077), 1, + aux_sym_interval_expression_token1, + ACTIONS(1079), 1, + anon_sym_DOLLAR, + STATE(1131), 1, + sym_identifier, + STATE(1209), 1, + sym__quoted_identifier, + STATE(1291), 1, + sym__expression, + STATE(1402), 1, + sym_argument_reference, + STATE(1289), 2, + sym_dotted_name, + sym__identifier, + STATE(2909), 2, + sym__aliased_expression, + sym__aliasable_expression, + STATE(1401), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1071), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1457), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [33763] = 27, + ACTIONS(3), 1, + sym_comment, + ACTIONS(39), 1, + aux_sym_cte_token2, + ACTIONS(41), 1, + anon_sym_LPAREN, + ACTIONS(43), 1, + aux_sym_null_hint_token3, + ACTIONS(45), 1, + aux_sym_select_subexpression_token1, + ACTIONS(47), 1, + aux_sym_conditional_expression_token1, + ACTIONS(49), 1, + aux_sym_TRUE_token1, + ACTIONS(51), 1, + aux_sym_FALSE_token1, + ACTIONS(53), 1, + aux_sym_number_token1, + ACTIONS(55), 1, + sym__unquoted_identifier, + ACTIONS(57), 1, + anon_sym_BQUOTE, + ACTIONS(59), 1, + anon_sym_DQUOTE, + ACTIONS(61), 1, + anon_sym_SQUOTE, + ACTIONS(63), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(67), 1, + anon_sym_DASH, + ACTIONS(69), 1, + anon_sym_STAR, + ACTIONS(71), 1, + aux_sym_interval_expression_token1, + ACTIONS(73), 1, + anon_sym_DOLLAR, + STATE(14), 1, + sym_identifier, + STATE(33), 1, + sym__quoted_identifier, + STATE(80), 1, + sym__expression, + STATE(100), 1, + sym_argument_reference, + STATE(78), 2, + sym_dotted_name, + sym__identifier, + STATE(1678), 2, + sym__aliased_expression, + sym__aliasable_expression, + STATE(99), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(65), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(202), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [33870] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(553), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(551), 42, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [33929] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(587), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(585), 42, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [33988] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(635), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(633), 40, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [34047] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(631), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(629), 40, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [34106] = 15, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(591), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1275), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1243), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(1265), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(589), 23, + anon_sym_COMMA, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_RBRACK, + [34189] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(557), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(555), 41, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [34250] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1277), 1, + anon_sym_LBRACK, + STATE(576), 1, + aux_sym_array_type_repeat1, + ACTIONS(77), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(75), 39, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [34313] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(627), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(625), 40, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [34372] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(611), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(609), 42, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [34431] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(340), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(338), 40, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [34490] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(623), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(621), 40, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [34549] = 16, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, + aux_sym_boolean_expression_token2, + ACTIONS(619), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1275), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1243), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(1265), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(617), 22, + anon_sym_COMMA, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_RBRACK, + [34634] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(623), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(621), 42, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [34693] = 14, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(591), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1275), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1243), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(1265), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(589), 24, + anon_sym_COMMA, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_RBRACK, + [34774] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1281), 1, + anon_sym_LBRACK, + STATE(576), 1, + aux_sym_array_type_repeat1, + ACTIONS(83), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(81), 39, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [34837] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(561), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(559), 41, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [34898] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(595), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(593), 42, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [34957] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1284), 1, + aux_sym_with_clause_token1, + ACTIONS(1286), 1, + anon_sym_LPAREN, + ACTIONS(1288), 1, + aux_sym_type_token3, + ACTIONS(159), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(165), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [35022] = 9, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1243), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(583), 6, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(581), 33, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_RBRACK, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [35093] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(565), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(563), 42, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [35152] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(599), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(597), 42, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [35211] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1290), 1, + aux_sym_with_clause_token1, + ACTIONS(1292), 1, + aux_sym_type_token3, + ACTIONS(242), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(238), 39, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [35274] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(603), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(601), 40, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [35333] = 27, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1207), 1, + aux_sym_cte_token2, + ACTIONS(1209), 1, + anon_sym_LPAREN, + ACTIONS(1211), 1, + aux_sym_null_hint_token3, + ACTIONS(1213), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1215), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1217), 1, + aux_sym_TRUE_token1, + ACTIONS(1219), 1, + aux_sym_FALSE_token1, + ACTIONS(1221), 1, + aux_sym_number_token1, + ACTIONS(1223), 1, + sym__unquoted_identifier, + ACTIONS(1225), 1, + anon_sym_BQUOTE, + ACTIONS(1227), 1, + anon_sym_DQUOTE, + ACTIONS(1229), 1, + anon_sym_SQUOTE, + ACTIONS(1231), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1235), 1, + anon_sym_DASH, + ACTIONS(1237), 1, + anon_sym_STAR, + ACTIONS(1239), 1, + aux_sym_interval_expression_token1, + ACTIONS(1241), 1, + anon_sym_DOLLAR, + STATE(292), 1, + sym_identifier, + STATE(301), 1, + sym__quoted_identifier, + STATE(396), 1, + sym__expression, + STATE(445), 1, + sym_argument_reference, + STATE(403), 2, + sym_dotted_name, + sym__identifier, + STATE(1678), 2, + sym__aliased_expression, + sym__aliasable_expression, + STATE(447), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1233), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(452), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [35440] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(607), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(605), 40, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [35499] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(999), 1, + anon_sym_DOT, + STATE(610), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(113), 22, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + ACTIONS(115), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [35562] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1153), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1159), 1, + anon_sym_CARET, + ACTIONS(1163), 1, + anon_sym_SLASH, + ACTIONS(1161), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(583), 10, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(581), 33, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [35629] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1153), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(583), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(581), 39, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [35690] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(573), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(571), 40, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [35749] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(595), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(593), 40, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [35808] = 27, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1045), 1, + aux_sym_cte_token2, + ACTIONS(1047), 1, + anon_sym_LPAREN, + ACTIONS(1049), 1, + aux_sym_null_hint_token3, + ACTIONS(1051), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1053), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1055), 1, + aux_sym_TRUE_token1, + ACTIONS(1057), 1, + aux_sym_FALSE_token1, + ACTIONS(1059), 1, + aux_sym_number_token1, + ACTIONS(1061), 1, + sym__unquoted_identifier, + ACTIONS(1063), 1, + anon_sym_BQUOTE, + ACTIONS(1065), 1, + anon_sym_DQUOTE, + ACTIONS(1067), 1, + anon_sym_SQUOTE, + ACTIONS(1069), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1073), 1, + anon_sym_DASH, + ACTIONS(1075), 1, + anon_sym_STAR, + ACTIONS(1077), 1, + aux_sym_interval_expression_token1, + ACTIONS(1079), 1, + anon_sym_DOLLAR, + STATE(1131), 1, + sym_identifier, + STATE(1209), 1, + sym__quoted_identifier, + STATE(1291), 1, + sym__expression, + STATE(1402), 1, + sym_argument_reference, + STATE(1289), 2, + sym_dotted_name, + sym__identifier, + STATE(2845), 2, + sym__aliased_expression, + sym__aliasable_expression, + STATE(1401), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1071), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1457), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [35915] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(603), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(601), 42, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [35974] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1153), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(557), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(555), 39, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [36035] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1153), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1159), 1, + anon_sym_CARET, + ACTIONS(583), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(581), 38, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [36098] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(569), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(567), 40, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [36157] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1294), 1, + aux_sym_with_clause_token1, + ACTIONS(1296), 1, + aux_sym_type_token3, + ACTIONS(213), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(209), 39, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [36220] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(565), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(563), 40, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [36279] = 14, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1153), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1159), 1, + anon_sym_CARET, + ACTIONS(1163), 1, + anon_sym_SLASH, + ACTIONS(1247), 1, + aux_sym_cte_token2, + ACTIONS(1249), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1253), 1, + aux_sym_is_expression_token1, + ACTIONS(1155), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1157), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(591), 3, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1259), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1161), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(1251), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(589), 23, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_boolean_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + [36360] = 19, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1153), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1159), 1, + anon_sym_CARET, + ACTIONS(1163), 1, + anon_sym_SLASH, + ACTIONS(1247), 1, + aux_sym_cte_token2, + ACTIONS(1249), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1253), 1, + aux_sym_is_expression_token1, + ACTIONS(1255), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1257), 1, + aux_sym_boolean_expression_token2, + ACTIONS(1300), 1, + anon_sym_COMMA, + STATE(1760), 1, + aux_sym_group_by_clause_body_repeat1, + ACTIONS(1155), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1157), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1302), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1304), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1259), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1161), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(1251), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(1298), 18, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + [36451] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(545), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(543), 40, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [36510] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1153), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(561), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(559), 39, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [36571] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(553), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(551), 40, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [36630] = 15, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1153), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1159), 1, + anon_sym_CARET, + ACTIONS(1163), 1, + anon_sym_SLASH, + ACTIONS(1247), 1, + aux_sym_cte_token2, + ACTIONS(1249), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1253), 1, + aux_sym_is_expression_token1, + ACTIONS(1255), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1155), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1157), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(591), 3, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1259), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1161), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(1251), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(589), 22, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + [36713] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(573), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(571), 42, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [36772] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(639), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(637), 42, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [36831] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1306), 1, + anon_sym_COLON_COLON, + ACTIONS(1308), 1, + anon_sym_DOT_STAR, + ACTIONS(340), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(338), 39, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [36894] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1277), 1, + anon_sym_LBRACK, + STATE(568), 1, + aux_sym_array_type_repeat1, + ACTIONS(330), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(328), 39, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [36957] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1310), 1, + aux_sym_with_clause_token1, + ACTIONS(1312), 1, + anon_sym_LPAREN, + ACTIONS(1314), 1, + aux_sym_type_token2, + ACTIONS(1316), 1, + aux_sym_type_token3, + ACTIONS(143), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(149), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [37024] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1318), 1, + anon_sym_DOT, + STATE(610), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(92), 22, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + ACTIONS(94), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [37087] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1321), 1, + anon_sym_DOT, + STATE(614), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(115), 12, + aux_sym_with_clause_token1, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(113), 37, + aux_sym_cte_token2, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [37150] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1323), 1, + aux_sym_with_clause_token1, + ACTIONS(1325), 1, + aux_sym_type_token3, + ACTIONS(195), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(191), 39, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [37213] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(615), 9, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(613), 42, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token3, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_RBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [37272] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1327), 1, + anon_sym_DOT, + STATE(614), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(94), 12, + aux_sym_with_clause_token1, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(92), 37, + aux_sym_cte_token2, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [37335] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(587), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(585), 40, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [37394] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(242), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(238), 40, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [37452] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(293), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(291), 40, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [37510] = 17, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1153), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1159), 1, + anon_sym_CARET, + ACTIONS(1163), 1, + anon_sym_SLASH, + ACTIONS(1247), 1, + aux_sym_cte_token2, + ACTIONS(1249), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1253), 1, + aux_sym_is_expression_token1, + ACTIONS(1255), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1257), 1, + aux_sym_boolean_expression_token2, + ACTIONS(1155), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1157), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1302), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1304), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1259), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1161), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(1251), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(1330), 19, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + [37596] = 27, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1332), 1, + aux_sym_cte_token2, + ACTIONS(1334), 1, + anon_sym_LPAREN, + ACTIONS(1336), 1, + aux_sym_null_hint_token3, + ACTIONS(1338), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1340), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1342), 1, + aux_sym_TRUE_token1, + ACTIONS(1344), 1, + aux_sym_FALSE_token1, + ACTIONS(1346), 1, + aux_sym_number_token1, + ACTIONS(1348), 1, + sym__unquoted_identifier, + ACTIONS(1350), 1, + anon_sym_BQUOTE, + ACTIONS(1352), 1, + anon_sym_DQUOTE, + ACTIONS(1354), 1, + anon_sym_SQUOTE, + ACTIONS(1356), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1360), 1, + anon_sym_DASH, + ACTIONS(1362), 1, + anon_sym_STAR, + ACTIONS(1364), 1, + aux_sym_interval_expression_token1, + ACTIONS(1366), 1, + anon_sym_DOLLAR, + STATE(1091), 1, + sym_identifier, + STATE(1110), 1, + sym__quoted_identifier, + STATE(1114), 1, + sym__expression, + STATE(1279), 1, + sym_argument_reference, + STATE(1954), 1, + sym_ordered_expression, + STATE(1226), 2, + sym_dotted_name, + sym__identifier, + STATE(1278), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1358), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1295), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [37702] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(94), 13, + aux_sym_with_clause_token1, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(92), 37, + aux_sym_cte_token2, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [37760] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1368), 1, + aux_sym_with_clause_token1, + ACTIONS(1370), 1, + anon_sym_LPAREN, + ACTIONS(1372), 1, + aux_sym_type_token3, + ACTIONS(159), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(165), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [37824] = 27, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + ACTIONS(1374), 1, + anon_sym_RPAREN, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1554), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [37930] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(541), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(539), 40, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [37988] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(453), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(451), 40, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [38046] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1310), 1, + aux_sym_with_clause_token1, + ACTIONS(1312), 1, + anon_sym_LPAREN, + ACTIONS(1316), 1, + aux_sym_type_token3, + ACTIONS(143), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(149), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [38110] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(408), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(406), 40, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [38168] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(942), 1, + anon_sym_LBRACK, + ACTIONS(340), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(338), 39, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [38228] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(457), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(455), 40, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [38286] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(133), 13, + aux_sym_with_clause_token1, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(131), 37, + aux_sym_cte_token2, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [38344] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1306), 1, + anon_sym_COLON_COLON, + ACTIONS(340), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(338), 39, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [38404] = 27, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1332), 1, + aux_sym_cte_token2, + ACTIONS(1334), 1, + anon_sym_LPAREN, + ACTIONS(1336), 1, + aux_sym_null_hint_token3, + ACTIONS(1338), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1340), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1342), 1, + aux_sym_TRUE_token1, + ACTIONS(1344), 1, + aux_sym_FALSE_token1, + ACTIONS(1346), 1, + aux_sym_number_token1, + ACTIONS(1348), 1, + sym__unquoted_identifier, + ACTIONS(1350), 1, + anon_sym_BQUOTE, + ACTIONS(1352), 1, + anon_sym_DQUOTE, + ACTIONS(1354), 1, + anon_sym_SQUOTE, + ACTIONS(1356), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1360), 1, + anon_sym_DASH, + ACTIONS(1362), 1, + anon_sym_STAR, + ACTIONS(1364), 1, + aux_sym_interval_expression_token1, + ACTIONS(1366), 1, + anon_sym_DOLLAR, + STATE(1091), 1, + sym_identifier, + STATE(1102), 1, + sym__expression, + STATE(1110), 1, + sym__quoted_identifier, + STATE(1279), 1, + sym_argument_reference, + STATE(1936), 1, + sym_ordered_expression, + STATE(1226), 2, + sym_dotted_name, + sym__identifier, + STATE(1278), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1358), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1295), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [38510] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(282), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(280), 40, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [38568] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(90), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(88), 40, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [38626] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(195), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(191), 40, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [38684] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(248), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(246), 40, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [38742] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(157), 13, + aux_sym_with_clause_token1, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(155), 37, + aux_sym_cte_token2, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [38800] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1376), 1, + aux_sym_with_clause_token1, + ACTIONS(1378), 1, + aux_sym_type_token3, + ACTIONS(209), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(213), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [38862] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(213), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(209), 40, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [38920] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1380), 1, + anon_sym_DOT, + STATE(639), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(92), 22, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + ACTIONS(94), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [38982] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(537), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(535), 40, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [39040] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1383), 1, + aux_sym_with_clause_token1, + ACTIONS(1385), 1, + aux_sym_type_token3, + ACTIONS(191), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(195), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [39102] = 27, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + ACTIONS(1387), 1, + anon_sym_RPAREN, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1581), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [39208] = 27, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1589), 1, + sym__expression, + STATE(2907), 1, + sym_values_clause_body, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [39314] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(260), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(258), 40, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [39372] = 27, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1389), 1, + aux_sym_cte_token2, + ACTIONS(1391), 1, + anon_sym_LPAREN, + ACTIONS(1393), 1, + aux_sym_alter_table_action_alter_column_token3, + ACTIONS(1395), 1, + aux_sym_null_hint_token3, + ACTIONS(1397), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1399), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1401), 1, + aux_sym_TRUE_token1, + ACTIONS(1403), 1, + aux_sym_FALSE_token1, + ACTIONS(1405), 1, + aux_sym_number_token1, + ACTIONS(1407), 1, + sym__unquoted_identifier, + ACTIONS(1409), 1, + anon_sym_BQUOTE, + ACTIONS(1411), 1, + anon_sym_DQUOTE, + ACTIONS(1413), 1, + anon_sym_SQUOTE, + ACTIONS(1415), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1419), 1, + anon_sym_DASH, + ACTIONS(1421), 1, + anon_sym_STAR, + ACTIONS(1423), 1, + aux_sym_interval_expression_token1, + ACTIONS(1425), 1, + anon_sym_DOLLAR, + STATE(24), 1, + sym__quoted_identifier, + STATE(37), 1, + sym_identifier, + STATE(144), 1, + sym_argument_reference, + STATE(985), 1, + sym__expression, + STATE(129), 2, + sym_dotted_name, + sym__identifier, + STATE(143), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1417), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(255), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [39478] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(272), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(270), 40, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [39536] = 27, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1389), 1, + aux_sym_cte_token2, + ACTIONS(1391), 1, + anon_sym_LPAREN, + ACTIONS(1395), 1, + aux_sym_null_hint_token3, + ACTIONS(1397), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1399), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1401), 1, + aux_sym_TRUE_token1, + ACTIONS(1403), 1, + aux_sym_FALSE_token1, + ACTIONS(1405), 1, + aux_sym_number_token1, + ACTIONS(1407), 1, + sym__unquoted_identifier, + ACTIONS(1409), 1, + anon_sym_BQUOTE, + ACTIONS(1411), 1, + anon_sym_DQUOTE, + ACTIONS(1413), 1, + anon_sym_SQUOTE, + ACTIONS(1415), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1419), 1, + anon_sym_DASH, + ACTIONS(1421), 1, + anon_sym_STAR, + ACTIONS(1423), 1, + aux_sym_interval_expression_token1, + ACTIONS(1425), 1, + anon_sym_DOLLAR, + STATE(24), 1, + sym__quoted_identifier, + STATE(37), 1, + sym_identifier, + STATE(144), 1, + sym_argument_reference, + STATE(500), 1, + sym__expression, + STATE(1754), 1, + sym_order_by_clause_body, + STATE(129), 2, + sym_dotted_name, + sym__identifier, + STATE(143), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1417), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(255), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [39642] = 27, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + ACTIONS(1427), 1, + anon_sym_RPAREN, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1593), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [39748] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1429), 1, + aux_sym_with_clause_token1, + ACTIONS(1431), 1, + aux_sym_type_token3, + ACTIONS(238), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(242), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [39810] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(461), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(459), 40, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [39868] = 27, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + ACTIONS(1433), 1, + anon_sym_RPAREN, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1564), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [39974] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(92), 22, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + ACTIONS(94), 28, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [40032] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(252), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(250), 40, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [40090] = 27, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + ACTIONS(1435), 1, + anon_sym_RPAREN, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1584), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [40196] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(83), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(81), 40, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [40254] = 17, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1153), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1159), 1, + anon_sym_CARET, + ACTIONS(1163), 1, + anon_sym_SLASH, + ACTIONS(1247), 1, + aux_sym_cte_token2, + ACTIONS(1249), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1253), 1, + aux_sym_is_expression_token1, + ACTIONS(1255), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1257), 1, + aux_sym_boolean_expression_token2, + ACTIONS(1155), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1157), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1302), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1304), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1259), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1161), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(1251), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(1201), 19, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + [40340] = 27, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + ACTIONS(1437), 1, + anon_sym_RPAREN, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1565), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [40446] = 27, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + ACTIONS(1439), 1, + anon_sym_RPAREN, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1585), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [40552] = 27, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + ACTIONS(1441), 1, + anon_sym_RPAREN, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1567), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [40658] = 27, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + ACTIONS(1443), 1, + anon_sym_RPAREN, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1574), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [40764] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(256), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(254), 40, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [40822] = 27, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + ACTIONS(1445), 1, + anon_sym_RPAREN, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1582), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [40928] = 27, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + ACTIONS(1447), 1, + anon_sym_RPAREN, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1570), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [41034] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(309), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(307), 40, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [41092] = 27, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1449), 1, + aux_sym_cte_token2, + ACTIONS(1451), 1, + anon_sym_LPAREN, + ACTIONS(1453), 1, + aux_sym_null_hint_token3, + ACTIONS(1455), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1457), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1459), 1, + aux_sym_TRUE_token1, + ACTIONS(1461), 1, + aux_sym_FALSE_token1, + ACTIONS(1463), 1, + aux_sym_number_token1, + ACTIONS(1465), 1, + sym__unquoted_identifier, + ACTIONS(1467), 1, + anon_sym_BQUOTE, + ACTIONS(1469), 1, + anon_sym_DQUOTE, + ACTIONS(1471), 1, + anon_sym_SQUOTE, + ACTIONS(1473), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1477), 1, + anon_sym_DASH, + ACTIONS(1479), 1, + anon_sym_STAR, + ACTIONS(1481), 1, + aux_sym_interval_expression_token1, + ACTIONS(1483), 1, + anon_sym_DOLLAR, + STATE(1088), 1, + sym__quoted_identifier, + STATE(1145), 1, + sym_identifier, + STATE(1375), 1, + sym_argument_reference, + STATE(1504), 1, + sym__expression, + STATE(1774), 1, + sym_group_by_clause_body, + STATE(1304), 2, + sym_dotted_name, + sym__identifier, + STATE(1372), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1475), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1503), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [41198] = 27, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + ACTIONS(1485), 1, + anon_sym_RPAREN, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1577), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [41304] = 27, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + ACTIONS(1487), 1, + anon_sym_RPAREN, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1595), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [41410] = 27, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1371), 1, + sym__expression, + STATE(1754), 1, + sym_order_by_clause_body, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [41516] = 17, + ACTIONS(3), 1, + sym_comment, + ACTIONS(641), 1, + aux_sym_cte_token2, + ACTIONS(643), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(647), 1, + aux_sym_is_expression_token1, + ACTIONS(649), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(657), 1, + anon_sym_CARET, + ACTIONS(661), 1, + anon_sym_SLASH, + ACTIONS(676), 1, + aux_sym_boolean_expression_token1, + ACTIONS(680), 1, + aux_sym_boolean_expression_token2, + ACTIONS(651), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(653), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(745), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(747), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(655), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(659), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(645), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(1489), 19, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + [41602] = 27, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1389), 1, + aux_sym_cte_token2, + ACTIONS(1391), 1, + anon_sym_LPAREN, + ACTIONS(1395), 1, + aux_sym_null_hint_token3, + ACTIONS(1397), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1399), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1401), 1, + aux_sym_TRUE_token1, + ACTIONS(1403), 1, + aux_sym_FALSE_token1, + ACTIONS(1405), 1, + aux_sym_number_token1, + ACTIONS(1407), 1, + sym__unquoted_identifier, + ACTIONS(1409), 1, + anon_sym_BQUOTE, + ACTIONS(1411), 1, + anon_sym_DQUOTE, + ACTIONS(1413), 1, + anon_sym_SQUOTE, + ACTIONS(1415), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1419), 1, + anon_sym_DASH, + ACTIONS(1421), 1, + anon_sym_STAR, + ACTIONS(1423), 1, + aux_sym_interval_expression_token1, + ACTIONS(1425), 1, + anon_sym_DOLLAR, + ACTIONS(1491), 1, + aux_sym_alter_table_action_alter_column_token3, + STATE(24), 1, + sym__quoted_identifier, + STATE(37), 1, + sym_identifier, + STATE(144), 1, + sym_argument_reference, + STATE(1002), 1, + sym__expression, + STATE(129), 2, + sym_dotted_name, + sym__identifier, + STATE(143), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1417), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(255), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [41708] = 27, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + ACTIONS(1493), 1, + anon_sym_RPAREN, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1583), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [41814] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(286), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(284), 40, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [41872] = 27, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + ACTIONS(1495), 1, + anon_sym_RPAREN, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1563), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [41978] = 27, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1497), 1, + aux_sym_cte_token2, + ACTIONS(1499), 1, + anon_sym_LPAREN, + ACTIONS(1501), 1, + aux_sym_null_hint_token3, + ACTIONS(1503), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1505), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1507), 1, + aux_sym_TRUE_token1, + ACTIONS(1509), 1, + aux_sym_FALSE_token1, + ACTIONS(1511), 1, + aux_sym_number_token1, + ACTIONS(1513), 1, + sym__unquoted_identifier, + ACTIONS(1515), 1, + anon_sym_BQUOTE, + ACTIONS(1517), 1, + anon_sym_DQUOTE, + ACTIONS(1519), 1, + anon_sym_SQUOTE, + ACTIONS(1521), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1525), 1, + anon_sym_DASH, + ACTIONS(1527), 1, + anon_sym_STAR, + ACTIONS(1529), 1, + aux_sym_interval_expression_token1, + ACTIONS(1531), 1, + anon_sym_DOLLAR, + STATE(318), 1, + sym_identifier, + STATE(373), 1, + sym__quoted_identifier, + STATE(518), 1, + sym_argument_reference, + STATE(600), 1, + sym__expression, + STATE(1774), 1, + sym_group_by_clause_body, + STATE(451), 2, + sym_dotted_name, + sym__identifier, + STATE(515), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1523), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(571), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [42084] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1041), 1, + anon_sym_DOT, + STATE(639), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(113), 22, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + ACTIONS(115), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [42146] = 27, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + ACTIONS(1533), 1, + anon_sym_RPAREN, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1557), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [42252] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(491), 1, + aux_sym_cte_token2, + ACTIONS(493), 1, + anon_sym_LPAREN, + ACTIONS(495), 1, + aux_sym_null_hint_token3, + ACTIONS(497), 1, + aux_sym_select_subexpression_token1, + ACTIONS(499), 1, + aux_sym_conditional_expression_token1, + ACTIONS(501), 1, + aux_sym_TRUE_token1, + ACTIONS(503), 1, + aux_sym_FALSE_token1, + ACTIONS(505), 1, + aux_sym_number_token1, + ACTIONS(507), 1, + sym__unquoted_identifier, + ACTIONS(509), 1, + anon_sym_BQUOTE, + ACTIONS(511), 1, + anon_sym_DQUOTE, + ACTIONS(513), 1, + anon_sym_SQUOTE, + ACTIONS(515), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(519), 1, + anon_sym_DASH, + ACTIONS(521), 1, + anon_sym_STAR, + ACTIONS(523), 1, + aux_sym_interval_expression_token1, + ACTIONS(525), 1, + anon_sym_DOLLAR, + STATE(473), 1, + sym_identifier, + STATE(504), 1, + sym__quoted_identifier, + STATE(961), 1, + sym_argument_reference, + STATE(1005), 1, + sym__expression, + STATE(862), 2, + sym_dotted_name, + sym__identifier, + STATE(962), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(517), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1000), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [42355] = 17, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1537), 1, + aux_sym_cte_token2, + ACTIONS(1539), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1543), 1, + aux_sym_is_expression_token1, + ACTIONS(1545), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1547), 1, + aux_sym_boolean_expression_token2, + ACTIONS(1549), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1561), 1, + anon_sym_CARET, + ACTIONS(1565), 1, + anon_sym_SLASH, + ACTIONS(1551), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1553), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1555), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1557), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1559), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1563), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(1541), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(1535), 18, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + [42440] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1567), 1, + aux_sym_cte_token2, + ACTIONS(1569), 1, + anon_sym_LPAREN, + ACTIONS(1571), 1, + aux_sym_null_hint_token3, + ACTIONS(1573), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1575), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1577), 1, + aux_sym_TRUE_token1, + ACTIONS(1579), 1, + aux_sym_FALSE_token1, + ACTIONS(1581), 1, + aux_sym_number_token1, + ACTIONS(1583), 1, + sym__unquoted_identifier, + ACTIONS(1585), 1, + anon_sym_BQUOTE, + ACTIONS(1587), 1, + anon_sym_DQUOTE, + ACTIONS(1589), 1, + anon_sym_SQUOTE, + ACTIONS(1591), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1595), 1, + anon_sym_DASH, + ACTIONS(1597), 1, + anon_sym_STAR, + ACTIONS(1599), 1, + aux_sym_interval_expression_token1, + ACTIONS(1601), 1, + anon_sym_DOLLAR, + STATE(1105), 1, + sym__quoted_identifier, + STATE(1186), 1, + sym_identifier, + STATE(1415), 1, + sym_argument_reference, + STATE(1515), 1, + sym__expression, + STATE(1399), 2, + sym_dotted_name, + sym__identifier, + STATE(1466), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1593), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1511), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [42543] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1117), 1, + aux_sym_cte_token2, + ACTIONS(1119), 1, + anon_sym_LPAREN, + ACTIONS(1121), 1, + aux_sym_null_hint_token3, + ACTIONS(1123), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1125), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1127), 1, + aux_sym_TRUE_token1, + ACTIONS(1129), 1, + aux_sym_FALSE_token1, + ACTIONS(1131), 1, + aux_sym_number_token1, + ACTIONS(1133), 1, + sym__unquoted_identifier, + ACTIONS(1135), 1, + anon_sym_BQUOTE, + ACTIONS(1137), 1, + anon_sym_DQUOTE, + ACTIONS(1139), 1, + anon_sym_SQUOTE, + ACTIONS(1141), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1145), 1, + anon_sym_DASH, + ACTIONS(1147), 1, + anon_sym_STAR, + ACTIONS(1149), 1, + aux_sym_interval_expression_token1, + ACTIONS(1151), 1, + anon_sym_DOLLAR, + STATE(38), 1, + sym_identifier, + STATE(45), 1, + sym__quoted_identifier, + STATE(199), 1, + sym_argument_reference, + STATE(258), 1, + sym__expression, + STATE(93), 2, + sym_dotted_name, + sym__identifier, + STATE(213), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1143), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(222), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [42646] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1567), 1, + aux_sym_cte_token2, + ACTIONS(1569), 1, + anon_sym_LPAREN, + ACTIONS(1571), 1, + aux_sym_null_hint_token3, + ACTIONS(1573), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1575), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1577), 1, + aux_sym_TRUE_token1, + ACTIONS(1579), 1, + aux_sym_FALSE_token1, + ACTIONS(1581), 1, + aux_sym_number_token1, + ACTIONS(1583), 1, + sym__unquoted_identifier, + ACTIONS(1585), 1, + anon_sym_BQUOTE, + ACTIONS(1587), 1, + anon_sym_DQUOTE, + ACTIONS(1589), 1, + anon_sym_SQUOTE, + ACTIONS(1591), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1595), 1, + anon_sym_DASH, + ACTIONS(1597), 1, + anon_sym_STAR, + ACTIONS(1599), 1, + aux_sym_interval_expression_token1, + ACTIONS(1601), 1, + anon_sym_DOLLAR, + STATE(1105), 1, + sym__quoted_identifier, + STATE(1186), 1, + sym_identifier, + STATE(1415), 1, + sym_argument_reference, + STATE(1530), 1, + sym__expression, + STATE(1399), 2, + sym_dotted_name, + sym__identifier, + STATE(1466), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1593), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1511), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [42749] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1567), 1, + aux_sym_cte_token2, + ACTIONS(1569), 1, + anon_sym_LPAREN, + ACTIONS(1571), 1, + aux_sym_null_hint_token3, + ACTIONS(1573), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1575), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1577), 1, + aux_sym_TRUE_token1, + ACTIONS(1579), 1, + aux_sym_FALSE_token1, + ACTIONS(1581), 1, + aux_sym_number_token1, + ACTIONS(1583), 1, + sym__unquoted_identifier, + ACTIONS(1585), 1, + anon_sym_BQUOTE, + ACTIONS(1587), 1, + anon_sym_DQUOTE, + ACTIONS(1589), 1, + anon_sym_SQUOTE, + ACTIONS(1591), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1595), 1, + anon_sym_DASH, + ACTIONS(1597), 1, + anon_sym_STAR, + ACTIONS(1599), 1, + aux_sym_interval_expression_token1, + ACTIONS(1601), 1, + anon_sym_DOLLAR, + STATE(1105), 1, + sym__quoted_identifier, + STATE(1186), 1, + sym_identifier, + STATE(1415), 1, + sym_argument_reference, + STATE(1514), 1, + sym__expression, + STATE(1399), 2, + sym_dotted_name, + sym__identifier, + STATE(1466), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1593), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1511), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [42852] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1567), 1, + aux_sym_cte_token2, + ACTIONS(1569), 1, + anon_sym_LPAREN, + ACTIONS(1571), 1, + aux_sym_null_hint_token3, + ACTIONS(1573), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1575), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1577), 1, + aux_sym_TRUE_token1, + ACTIONS(1579), 1, + aux_sym_FALSE_token1, + ACTIONS(1581), 1, + aux_sym_number_token1, + ACTIONS(1583), 1, + sym__unquoted_identifier, + ACTIONS(1585), 1, + anon_sym_BQUOTE, + ACTIONS(1587), 1, + anon_sym_DQUOTE, + ACTIONS(1589), 1, + anon_sym_SQUOTE, + ACTIONS(1591), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1595), 1, + anon_sym_DASH, + ACTIONS(1597), 1, + anon_sym_STAR, + ACTIONS(1599), 1, + aux_sym_interval_expression_token1, + ACTIONS(1601), 1, + anon_sym_DOLLAR, + STATE(1105), 1, + sym__quoted_identifier, + STATE(1186), 1, + sym_identifier, + STATE(1415), 1, + sym_argument_reference, + STATE(1523), 1, + sym__expression, + STATE(1399), 2, + sym_dotted_name, + sym__identifier, + STATE(1466), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1593), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1511), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [42955] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1603), 1, + aux_sym_with_clause_token1, + ACTIONS(1605), 1, + aux_sym_type_token3, + ACTIONS(209), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(213), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [43016] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1567), 1, + aux_sym_cte_token2, + ACTIONS(1569), 1, + anon_sym_LPAREN, + ACTIONS(1571), 1, + aux_sym_null_hint_token3, + ACTIONS(1573), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1575), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1577), 1, + aux_sym_TRUE_token1, + ACTIONS(1579), 1, + aux_sym_FALSE_token1, + ACTIONS(1581), 1, + aux_sym_number_token1, + ACTIONS(1583), 1, + sym__unquoted_identifier, + ACTIONS(1585), 1, + anon_sym_BQUOTE, + ACTIONS(1587), 1, + anon_sym_DQUOTE, + ACTIONS(1589), 1, + anon_sym_SQUOTE, + ACTIONS(1591), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1595), 1, + anon_sym_DASH, + ACTIONS(1597), 1, + anon_sym_STAR, + ACTIONS(1599), 1, + aux_sym_interval_expression_token1, + ACTIONS(1601), 1, + anon_sym_DOLLAR, + STATE(1105), 1, + sym__quoted_identifier, + STATE(1186), 1, + sym_identifier, + STATE(1415), 1, + sym_argument_reference, + STATE(1541), 1, + sym__expression, + STATE(1399), 2, + sym_dotted_name, + sym__identifier, + STATE(1466), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1593), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1511), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [43119] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1567), 1, + aux_sym_cte_token2, + ACTIONS(1569), 1, + anon_sym_LPAREN, + ACTIONS(1571), 1, + aux_sym_null_hint_token3, + ACTIONS(1573), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1575), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1577), 1, + aux_sym_TRUE_token1, + ACTIONS(1579), 1, + aux_sym_FALSE_token1, + ACTIONS(1581), 1, + aux_sym_number_token1, + ACTIONS(1583), 1, + sym__unquoted_identifier, + ACTIONS(1585), 1, + anon_sym_BQUOTE, + ACTIONS(1587), 1, + anon_sym_DQUOTE, + ACTIONS(1589), 1, + anon_sym_SQUOTE, + ACTIONS(1591), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1595), 1, + anon_sym_DASH, + ACTIONS(1597), 1, + anon_sym_STAR, + ACTIONS(1599), 1, + aux_sym_interval_expression_token1, + ACTIONS(1601), 1, + anon_sym_DOLLAR, + STATE(1105), 1, + sym__quoted_identifier, + STATE(1186), 1, + sym_identifier, + STATE(1415), 1, + sym_argument_reference, + STATE(1524), 1, + sym__expression, + STATE(1399), 2, + sym_dotted_name, + sym__identifier, + STATE(1466), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1593), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1511), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [43222] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1607), 1, + aux_sym_with_clause_token1, + ACTIONS(1609), 1, + aux_sym_type_token3, + ACTIONS(191), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(195), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [43283] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1567), 1, + aux_sym_cte_token2, + ACTIONS(1569), 1, + anon_sym_LPAREN, + ACTIONS(1571), 1, + aux_sym_null_hint_token3, + ACTIONS(1573), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1575), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1577), 1, + aux_sym_TRUE_token1, + ACTIONS(1579), 1, + aux_sym_FALSE_token1, + ACTIONS(1581), 1, + aux_sym_number_token1, + ACTIONS(1583), 1, + sym__unquoted_identifier, + ACTIONS(1585), 1, + anon_sym_BQUOTE, + ACTIONS(1587), 1, + anon_sym_DQUOTE, + ACTIONS(1589), 1, + anon_sym_SQUOTE, + ACTIONS(1591), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1595), 1, + anon_sym_DASH, + ACTIONS(1597), 1, + anon_sym_STAR, + ACTIONS(1599), 1, + aux_sym_interval_expression_token1, + ACTIONS(1601), 1, + anon_sym_DOLLAR, + STATE(1105), 1, + sym__quoted_identifier, + STATE(1186), 1, + sym_identifier, + STATE(1415), 1, + sym_argument_reference, + STATE(1525), 1, + sym__expression, + STATE(1399), 2, + sym_dotted_name, + sym__identifier, + STATE(1466), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1593), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1511), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [43386] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1567), 1, + aux_sym_cte_token2, + ACTIONS(1569), 1, + anon_sym_LPAREN, + ACTIONS(1571), 1, + aux_sym_null_hint_token3, + ACTIONS(1573), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1575), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1577), 1, + aux_sym_TRUE_token1, + ACTIONS(1579), 1, + aux_sym_FALSE_token1, + ACTIONS(1581), 1, + aux_sym_number_token1, + ACTIONS(1583), 1, + sym__unquoted_identifier, + ACTIONS(1585), 1, + anon_sym_BQUOTE, + ACTIONS(1587), 1, + anon_sym_DQUOTE, + ACTIONS(1589), 1, + anon_sym_SQUOTE, + ACTIONS(1591), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1595), 1, + anon_sym_DASH, + ACTIONS(1597), 1, + anon_sym_STAR, + ACTIONS(1599), 1, + aux_sym_interval_expression_token1, + ACTIONS(1601), 1, + anon_sym_DOLLAR, + STATE(1105), 1, + sym__quoted_identifier, + STATE(1186), 1, + sym_identifier, + STATE(1415), 1, + sym_argument_reference, + STATE(1527), 1, + sym__expression, + STATE(1399), 2, + sym_dotted_name, + sym__identifier, + STATE(1466), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1593), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1511), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [43489] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1165), 1, + aux_sym_cte_token2, + ACTIONS(1167), 1, + anon_sym_LPAREN, + ACTIONS(1169), 1, + aux_sym_null_hint_token3, + ACTIONS(1171), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1173), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1175), 1, + aux_sym_TRUE_token1, + ACTIONS(1177), 1, + aux_sym_FALSE_token1, + ACTIONS(1179), 1, + aux_sym_number_token1, + ACTIONS(1181), 1, + sym__unquoted_identifier, + ACTIONS(1183), 1, + anon_sym_BQUOTE, + ACTIONS(1185), 1, + anon_sym_DQUOTE, + ACTIONS(1187), 1, + anon_sym_SQUOTE, + ACTIONS(1189), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1193), 1, + anon_sym_DASH, + ACTIONS(1195), 1, + anon_sym_STAR, + ACTIONS(1197), 1, + aux_sym_interval_expression_token1, + ACTIONS(1199), 1, + anon_sym_DOLLAR, + STATE(514), 1, + sym_identifier, + STATE(549), 1, + sym__quoted_identifier, + STATE(999), 1, + sym_argument_reference, + STATE(1043), 1, + sym__expression, + STATE(979), 2, + sym_dotted_name, + sym__identifier, + STATE(996), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1191), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1065), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [43592] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1611), 1, + aux_sym_with_clause_token1, + ACTIONS(1613), 1, + aux_sym_type_token3, + ACTIONS(238), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(242), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [43653] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(297), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(295), 39, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [43710] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(635), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(633), 39, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [43767] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(631), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(629), 39, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [43824] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1615), 1, + aux_sym_cte_token2, + ACTIONS(1617), 1, + anon_sym_LPAREN, + ACTIONS(1619), 1, + aux_sym_null_hint_token3, + ACTIONS(1621), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1623), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1625), 1, + aux_sym_TRUE_token1, + ACTIONS(1627), 1, + aux_sym_FALSE_token1, + ACTIONS(1629), 1, + aux_sym_number_token1, + ACTIONS(1631), 1, + sym__unquoted_identifier, + ACTIONS(1633), 1, + anon_sym_BQUOTE, + ACTIONS(1635), 1, + anon_sym_DQUOTE, + ACTIONS(1637), 1, + anon_sym_SQUOTE, + ACTIONS(1639), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1643), 1, + anon_sym_DASH, + ACTIONS(1645), 1, + anon_sym_STAR, + ACTIONS(1647), 1, + aux_sym_interval_expression_token1, + ACTIONS(1649), 1, + anon_sym_DOLLAR, + STATE(412), 1, + sym_identifier, + STATE(436), 1, + sym__quoted_identifier, + STATE(627), 1, + sym_argument_reference, + STATE(699), 1, + sym__expression, + STATE(607), 2, + sym_dotted_name, + sym__identifier, + STATE(630), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1641), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(697), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [43927] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(627), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(625), 39, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [43984] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(340), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(338), 39, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [44041] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1549), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(557), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(555), 38, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [44100] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1549), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(561), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(559), 38, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [44159] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(565), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(563), 39, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [44216] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(573), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(571), 39, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [44273] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1615), 1, + aux_sym_cte_token2, + ACTIONS(1617), 1, + anon_sym_LPAREN, + ACTIONS(1619), 1, + aux_sym_null_hint_token3, + ACTIONS(1621), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1623), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1625), 1, + aux_sym_TRUE_token1, + ACTIONS(1627), 1, + aux_sym_FALSE_token1, + ACTIONS(1629), 1, + aux_sym_number_token1, + ACTIONS(1631), 1, + sym__unquoted_identifier, + ACTIONS(1633), 1, + anon_sym_BQUOTE, + ACTIONS(1635), 1, + anon_sym_DQUOTE, + ACTIONS(1637), 1, + anon_sym_SQUOTE, + ACTIONS(1639), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1643), 1, + anon_sym_DASH, + ACTIONS(1645), 1, + anon_sym_STAR, + ACTIONS(1647), 1, + aux_sym_interval_expression_token1, + ACTIONS(1649), 1, + anon_sym_DOLLAR, + STATE(412), 1, + sym_identifier, + STATE(436), 1, + sym__quoted_identifier, + STATE(627), 1, + sym_argument_reference, + STATE(716), 1, + sym__expression, + STATE(607), 2, + sym_dotted_name, + sym__identifier, + STATE(630), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1641), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(697), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [44376] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1651), 1, + aux_sym_cte_token2, + ACTIONS(1653), 1, + anon_sym_LPAREN, + ACTIONS(1655), 1, + aux_sym_null_hint_token3, + ACTIONS(1657), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1659), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1661), 1, + aux_sym_TRUE_token1, + ACTIONS(1663), 1, + aux_sym_FALSE_token1, + ACTIONS(1665), 1, + aux_sym_number_token1, + ACTIONS(1667), 1, + sym__unquoted_identifier, + ACTIONS(1669), 1, + anon_sym_BQUOTE, + ACTIONS(1671), 1, + anon_sym_DQUOTE, + ACTIONS(1673), 1, + anon_sym_SQUOTE, + ACTIONS(1675), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1679), 1, + anon_sym_DASH, + ACTIONS(1681), 1, + anon_sym_STAR, + ACTIONS(1683), 1, + aux_sym_interval_expression_token1, + ACTIONS(1685), 1, + anon_sym_DOLLAR, + STATE(167), 1, + sym_identifier, + STATE(225), 1, + sym__quoted_identifier, + STATE(321), 1, + sym_argument_reference, + STATE(372), 1, + sym__expression, + STATE(305), 2, + sym_dotted_name, + sym__identifier, + STATE(328), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1677), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(344), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [44479] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1651), 1, + aux_sym_cte_token2, + ACTIONS(1653), 1, + anon_sym_LPAREN, + ACTIONS(1655), 1, + aux_sym_null_hint_token3, + ACTIONS(1657), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1659), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1661), 1, + aux_sym_TRUE_token1, + ACTIONS(1663), 1, + aux_sym_FALSE_token1, + ACTIONS(1665), 1, + aux_sym_number_token1, + ACTIONS(1667), 1, + sym__unquoted_identifier, + ACTIONS(1669), 1, + anon_sym_BQUOTE, + ACTIONS(1671), 1, + anon_sym_DQUOTE, + ACTIONS(1673), 1, + anon_sym_SQUOTE, + ACTIONS(1675), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1679), 1, + anon_sym_DASH, + ACTIONS(1681), 1, + anon_sym_STAR, + ACTIONS(1683), 1, + aux_sym_interval_expression_token1, + ACTIONS(1685), 1, + anon_sym_DOLLAR, + STATE(167), 1, + sym_identifier, + STATE(225), 1, + sym__quoted_identifier, + STATE(321), 1, + sym_argument_reference, + STATE(337), 1, + sym__expression, + STATE(305), 2, + sym_dotted_name, + sym__identifier, + STATE(328), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1677), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(344), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [44582] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1615), 1, + aux_sym_cte_token2, + ACTIONS(1617), 1, + anon_sym_LPAREN, + ACTIONS(1619), 1, + aux_sym_null_hint_token3, + ACTIONS(1621), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1623), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1625), 1, + aux_sym_TRUE_token1, + ACTIONS(1627), 1, + aux_sym_FALSE_token1, + ACTIONS(1629), 1, + aux_sym_number_token1, + ACTIONS(1631), 1, + sym__unquoted_identifier, + ACTIONS(1633), 1, + anon_sym_BQUOTE, + ACTIONS(1635), 1, + anon_sym_DQUOTE, + ACTIONS(1637), 1, + anon_sym_SQUOTE, + ACTIONS(1639), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1643), 1, + anon_sym_DASH, + ACTIONS(1645), 1, + anon_sym_STAR, + ACTIONS(1647), 1, + aux_sym_interval_expression_token1, + ACTIONS(1649), 1, + anon_sym_DOLLAR, + STATE(412), 1, + sym_identifier, + STATE(436), 1, + sym__quoted_identifier, + STATE(627), 1, + sym_argument_reference, + STATE(718), 1, + sym__expression, + STATE(607), 2, + sym_dotted_name, + sym__identifier, + STATE(630), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1641), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(697), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [44685] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1615), 1, + aux_sym_cte_token2, + ACTIONS(1617), 1, + anon_sym_LPAREN, + ACTIONS(1619), 1, + aux_sym_null_hint_token3, + ACTIONS(1621), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1623), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1625), 1, + aux_sym_TRUE_token1, + ACTIONS(1627), 1, + aux_sym_FALSE_token1, + ACTIONS(1629), 1, + aux_sym_number_token1, + ACTIONS(1631), 1, + sym__unquoted_identifier, + ACTIONS(1633), 1, + anon_sym_BQUOTE, + ACTIONS(1635), 1, + anon_sym_DQUOTE, + ACTIONS(1637), 1, + anon_sym_SQUOTE, + ACTIONS(1639), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1643), 1, + anon_sym_DASH, + ACTIONS(1645), 1, + anon_sym_STAR, + ACTIONS(1647), 1, + aux_sym_interval_expression_token1, + ACTIONS(1649), 1, + anon_sym_DOLLAR, + STATE(412), 1, + sym_identifier, + STATE(436), 1, + sym__quoted_identifier, + STATE(627), 1, + sym_argument_reference, + STATE(719), 1, + sym__expression, + STATE(607), 2, + sym_dotted_name, + sym__identifier, + STATE(630), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1641), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(697), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [44788] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1615), 1, + aux_sym_cte_token2, + ACTIONS(1617), 1, + anon_sym_LPAREN, + ACTIONS(1619), 1, + aux_sym_null_hint_token3, + ACTIONS(1621), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1623), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1625), 1, + aux_sym_TRUE_token1, + ACTIONS(1627), 1, + aux_sym_FALSE_token1, + ACTIONS(1629), 1, + aux_sym_number_token1, + ACTIONS(1631), 1, + sym__unquoted_identifier, + ACTIONS(1633), 1, + anon_sym_BQUOTE, + ACTIONS(1635), 1, + anon_sym_DQUOTE, + ACTIONS(1637), 1, + anon_sym_SQUOTE, + ACTIONS(1639), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1643), 1, + anon_sym_DASH, + ACTIONS(1645), 1, + anon_sym_STAR, + ACTIONS(1647), 1, + aux_sym_interval_expression_token1, + ACTIONS(1649), 1, + anon_sym_DOLLAR, + STATE(412), 1, + sym_identifier, + STATE(436), 1, + sym__quoted_identifier, + STATE(627), 1, + sym_argument_reference, + STATE(721), 1, + sym__expression, + STATE(607), 2, + sym_dotted_name, + sym__identifier, + STATE(630), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1641), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(697), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [44891] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1615), 1, + aux_sym_cte_token2, + ACTIONS(1617), 1, + anon_sym_LPAREN, + ACTIONS(1619), 1, + aux_sym_null_hint_token3, + ACTIONS(1621), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1623), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1625), 1, + aux_sym_TRUE_token1, + ACTIONS(1627), 1, + aux_sym_FALSE_token1, + ACTIONS(1629), 1, + aux_sym_number_token1, + ACTIONS(1631), 1, + sym__unquoted_identifier, + ACTIONS(1633), 1, + anon_sym_BQUOTE, + ACTIONS(1635), 1, + anon_sym_DQUOTE, + ACTIONS(1637), 1, + anon_sym_SQUOTE, + ACTIONS(1639), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1643), 1, + anon_sym_DASH, + ACTIONS(1645), 1, + anon_sym_STAR, + ACTIONS(1647), 1, + aux_sym_interval_expression_token1, + ACTIONS(1649), 1, + anon_sym_DOLLAR, + STATE(412), 1, + sym_identifier, + STATE(436), 1, + sym__quoted_identifier, + STATE(627), 1, + sym_argument_reference, + STATE(722), 1, + sym__expression, + STATE(607), 2, + sym_dotted_name, + sym__identifier, + STATE(630), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1641), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(697), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [44994] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1615), 1, + aux_sym_cte_token2, + ACTIONS(1617), 1, + anon_sym_LPAREN, + ACTIONS(1619), 1, + aux_sym_null_hint_token3, + ACTIONS(1621), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1623), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1625), 1, + aux_sym_TRUE_token1, + ACTIONS(1627), 1, + aux_sym_FALSE_token1, + ACTIONS(1629), 1, + aux_sym_number_token1, + ACTIONS(1631), 1, + sym__unquoted_identifier, + ACTIONS(1633), 1, + anon_sym_BQUOTE, + ACTIONS(1635), 1, + anon_sym_DQUOTE, + ACTIONS(1637), 1, + anon_sym_SQUOTE, + ACTIONS(1639), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1643), 1, + anon_sym_DASH, + ACTIONS(1645), 1, + anon_sym_STAR, + ACTIONS(1647), 1, + aux_sym_interval_expression_token1, + ACTIONS(1649), 1, + anon_sym_DOLLAR, + STATE(412), 1, + sym_identifier, + STATE(436), 1, + sym__quoted_identifier, + STATE(627), 1, + sym_argument_reference, + STATE(723), 1, + sym__expression, + STATE(607), 2, + sym_dotted_name, + sym__identifier, + STATE(630), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1641), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(697), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [45097] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(615), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(613), 39, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [45154] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(639), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(637), 39, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [45211] = 24, + ACTIONS(3), 1, + sym_comment, + ACTIONS(352), 1, + aux_sym_cte_token1, + ACTIONS(368), 1, + sym__unquoted_identifier, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(1687), 1, + aux_sym_cte_token2, + ACTIONS(1689), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1693), 1, + aux_sym_is_expression_token1, + ACTIONS(1695), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1697), 1, + aux_sym_boolean_expression_token2, + ACTIONS(1699), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1711), 1, + anon_sym_CARET, + ACTIONS(1715), 1, + anon_sym_SLASH, + STATE(113), 1, + sym__quoted_identifier, + STATE(1669), 1, + sym_identifier, + ACTIONS(348), 2, + anon_sym_COMMA, + anon_sym_RPAREN, + ACTIONS(1701), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1703), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1705), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1707), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1709), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1713), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(1691), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(350), 10, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + [45310] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1613), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [45413] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(599), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(597), 39, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [45470] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1597), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [45573] = 9, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1549), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1561), 1, + anon_sym_CARET, + ACTIONS(1565), 1, + anon_sym_SLASH, + ACTIONS(1555), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1557), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1563), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(583), 7, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(581), 30, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [45642] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(595), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(593), 39, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [45699] = 14, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1537), 1, + aux_sym_cte_token2, + ACTIONS(1539), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1543), 1, + aux_sym_is_expression_token1, + ACTIONS(1549), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1561), 1, + anon_sym_CARET, + ACTIONS(1565), 1, + anon_sym_SLASH, + ACTIONS(591), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1555), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1557), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1559), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1563), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(1541), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(589), 22, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + [45778] = 15, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1537), 1, + aux_sym_cte_token2, + ACTIONS(1539), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1543), 1, + aux_sym_is_expression_token1, + ACTIONS(1545), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1549), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1561), 1, + anon_sym_CARET, + ACTIONS(1565), 1, + anon_sym_SLASH, + ACTIONS(591), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1555), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1557), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1559), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1563), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(1541), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(589), 21, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + [45859] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(587), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(585), 39, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [45916] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1549), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1561), 1, + anon_sym_CARET, + ACTIONS(1565), 1, + anon_sym_SLASH, + ACTIONS(1563), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(583), 9, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(581), 32, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [45981] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1549), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(583), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(581), 38, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [46040] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1549), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1561), 1, + anon_sym_CARET, + ACTIONS(583), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(581), 37, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [46101] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(553), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(551), 39, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [46158] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1117), 1, + aux_sym_cte_token2, + ACTIONS(1119), 1, + anon_sym_LPAREN, + ACTIONS(1121), 1, + aux_sym_null_hint_token3, + ACTIONS(1123), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1125), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1127), 1, + aux_sym_TRUE_token1, + ACTIONS(1129), 1, + aux_sym_FALSE_token1, + ACTIONS(1131), 1, + aux_sym_number_token1, + ACTIONS(1133), 1, + sym__unquoted_identifier, + ACTIONS(1135), 1, + anon_sym_BQUOTE, + ACTIONS(1137), 1, + anon_sym_DQUOTE, + ACTIONS(1139), 1, + anon_sym_SQUOTE, + ACTIONS(1141), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1145), 1, + anon_sym_DASH, + ACTIONS(1147), 1, + anon_sym_STAR, + ACTIONS(1149), 1, + aux_sym_interval_expression_token1, + ACTIONS(1151), 1, + anon_sym_DOLLAR, + STATE(38), 1, + sym_identifier, + STATE(45), 1, + sym__quoted_identifier, + STATE(199), 1, + sym_argument_reference, + STATE(260), 1, + sym__expression, + STATE(93), 2, + sym_dotted_name, + sym__identifier, + STATE(213), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1143), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(222), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [46261] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(92), 22, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + ACTIONS(94), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [46318] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1605), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [46421] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(549), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(547), 39, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [46478] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(545), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(543), 39, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [46535] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(569), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(567), 39, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [46592] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1615), 1, + aux_sym_cte_token2, + ACTIONS(1617), 1, + anon_sym_LPAREN, + ACTIONS(1619), 1, + aux_sym_null_hint_token3, + ACTIONS(1621), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1623), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1625), 1, + aux_sym_TRUE_token1, + ACTIONS(1627), 1, + aux_sym_FALSE_token1, + ACTIONS(1629), 1, + aux_sym_number_token1, + ACTIONS(1631), 1, + sym__unquoted_identifier, + ACTIONS(1633), 1, + anon_sym_BQUOTE, + ACTIONS(1635), 1, + anon_sym_DQUOTE, + ACTIONS(1637), 1, + anon_sym_SQUOTE, + ACTIONS(1639), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1643), 1, + anon_sym_DASH, + ACTIONS(1645), 1, + anon_sym_STAR, + ACTIONS(1647), 1, + aux_sym_interval_expression_token1, + ACTIONS(1649), 1, + anon_sym_DOLLAR, + STATE(412), 1, + sym_identifier, + STATE(436), 1, + sym__quoted_identifier, + STATE(627), 1, + sym_argument_reference, + STATE(741), 1, + sym__expression, + STATE(607), 2, + sym_dotted_name, + sym__identifier, + STATE(630), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1641), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(697), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [46695] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1615), 1, + aux_sym_cte_token2, + ACTIONS(1617), 1, + anon_sym_LPAREN, + ACTIONS(1619), 1, + aux_sym_null_hint_token3, + ACTIONS(1621), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1623), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1625), 1, + aux_sym_TRUE_token1, + ACTIONS(1627), 1, + aux_sym_FALSE_token1, + ACTIONS(1629), 1, + aux_sym_number_token1, + ACTIONS(1631), 1, + sym__unquoted_identifier, + ACTIONS(1633), 1, + anon_sym_BQUOTE, + ACTIONS(1635), 1, + anon_sym_DQUOTE, + ACTIONS(1637), 1, + anon_sym_SQUOTE, + ACTIONS(1639), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1643), 1, + anon_sym_DASH, + ACTIONS(1645), 1, + anon_sym_STAR, + ACTIONS(1647), 1, + aux_sym_interval_expression_token1, + ACTIONS(1649), 1, + anon_sym_DOLLAR, + STATE(412), 1, + sym_identifier, + STATE(436), 1, + sym__quoted_identifier, + STATE(627), 1, + sym_argument_reference, + STATE(742), 1, + sym__expression, + STATE(607), 2, + sym_dotted_name, + sym__identifier, + STATE(630), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1641), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(697), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [46798] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(607), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(605), 39, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [46855] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1651), 1, + aux_sym_cte_token2, + ACTIONS(1653), 1, + anon_sym_LPAREN, + ACTIONS(1655), 1, + aux_sym_null_hint_token3, + ACTIONS(1657), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1659), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1661), 1, + aux_sym_TRUE_token1, + ACTIONS(1663), 1, + aux_sym_FALSE_token1, + ACTIONS(1665), 1, + aux_sym_number_token1, + ACTIONS(1667), 1, + sym__unquoted_identifier, + ACTIONS(1669), 1, + anon_sym_BQUOTE, + ACTIONS(1671), 1, + anon_sym_DQUOTE, + ACTIONS(1673), 1, + anon_sym_SQUOTE, + ACTIONS(1675), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1679), 1, + anon_sym_DASH, + ACTIONS(1681), 1, + anon_sym_STAR, + ACTIONS(1683), 1, + aux_sym_interval_expression_token1, + ACTIONS(1685), 1, + anon_sym_DOLLAR, + STATE(167), 1, + sym_identifier, + STATE(225), 1, + sym__quoted_identifier, + STATE(321), 1, + sym_argument_reference, + STATE(358), 1, + sym__expression, + STATE(305), 2, + sym_dotted_name, + sym__identifier, + STATE(328), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1677), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(344), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [46958] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1651), 1, + aux_sym_cte_token2, + ACTIONS(1653), 1, + anon_sym_LPAREN, + ACTIONS(1655), 1, + aux_sym_null_hint_token3, + ACTIONS(1657), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1659), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1661), 1, + aux_sym_TRUE_token1, + ACTIONS(1663), 1, + aux_sym_FALSE_token1, + ACTIONS(1665), 1, + aux_sym_number_token1, + ACTIONS(1667), 1, + sym__unquoted_identifier, + ACTIONS(1669), 1, + anon_sym_BQUOTE, + ACTIONS(1671), 1, + anon_sym_DQUOTE, + ACTIONS(1673), 1, + anon_sym_SQUOTE, + ACTIONS(1675), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1679), 1, + anon_sym_DASH, + ACTIONS(1681), 1, + anon_sym_STAR, + ACTIONS(1683), 1, + aux_sym_interval_expression_token1, + ACTIONS(1685), 1, + anon_sym_DOLLAR, + STATE(167), 1, + sym_identifier, + STATE(225), 1, + sym__quoted_identifier, + STATE(321), 1, + sym_argument_reference, + STATE(357), 1, + sym__expression, + STATE(305), 2, + sym_dotted_name, + sym__identifier, + STATE(328), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1677), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(344), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [47061] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1651), 1, + aux_sym_cte_token2, + ACTIONS(1653), 1, + anon_sym_LPAREN, + ACTIONS(1655), 1, + aux_sym_null_hint_token3, + ACTIONS(1657), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1659), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1661), 1, + aux_sym_TRUE_token1, + ACTIONS(1663), 1, + aux_sym_FALSE_token1, + ACTIONS(1665), 1, + aux_sym_number_token1, + ACTIONS(1667), 1, + sym__unquoted_identifier, + ACTIONS(1669), 1, + anon_sym_BQUOTE, + ACTIONS(1671), 1, + anon_sym_DQUOTE, + ACTIONS(1673), 1, + anon_sym_SQUOTE, + ACTIONS(1675), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1679), 1, + anon_sym_DASH, + ACTIONS(1681), 1, + anon_sym_STAR, + ACTIONS(1683), 1, + aux_sym_interval_expression_token1, + ACTIONS(1685), 1, + anon_sym_DOLLAR, + STATE(167), 1, + sym_identifier, + STATE(225), 1, + sym__quoted_identifier, + STATE(321), 1, + sym_argument_reference, + STATE(356), 1, + sym__expression, + STATE(305), 2, + sym_dotted_name, + sym__identifier, + STATE(328), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1677), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(344), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [47164] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1651), 1, + aux_sym_cte_token2, + ACTIONS(1653), 1, + anon_sym_LPAREN, + ACTIONS(1655), 1, + aux_sym_null_hint_token3, + ACTIONS(1657), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1659), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1661), 1, + aux_sym_TRUE_token1, + ACTIONS(1663), 1, + aux_sym_FALSE_token1, + ACTIONS(1665), 1, + aux_sym_number_token1, + ACTIONS(1667), 1, + sym__unquoted_identifier, + ACTIONS(1669), 1, + anon_sym_BQUOTE, + ACTIONS(1671), 1, + anon_sym_DQUOTE, + ACTIONS(1673), 1, + anon_sym_SQUOTE, + ACTIONS(1675), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1679), 1, + anon_sym_DASH, + ACTIONS(1681), 1, + anon_sym_STAR, + ACTIONS(1683), 1, + aux_sym_interval_expression_token1, + ACTIONS(1685), 1, + anon_sym_DOLLAR, + STATE(167), 1, + sym_identifier, + STATE(225), 1, + sym__quoted_identifier, + STATE(321), 1, + sym_argument_reference, + STATE(354), 1, + sym__expression, + STATE(305), 2, + sym_dotted_name, + sym__identifier, + STATE(328), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1677), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(344), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [47267] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1651), 1, + aux_sym_cte_token2, + ACTIONS(1653), 1, + anon_sym_LPAREN, + ACTIONS(1655), 1, + aux_sym_null_hint_token3, + ACTIONS(1657), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1659), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1661), 1, + aux_sym_TRUE_token1, + ACTIONS(1663), 1, + aux_sym_FALSE_token1, + ACTIONS(1665), 1, + aux_sym_number_token1, + ACTIONS(1667), 1, + sym__unquoted_identifier, + ACTIONS(1669), 1, + anon_sym_BQUOTE, + ACTIONS(1671), 1, + anon_sym_DQUOTE, + ACTIONS(1673), 1, + anon_sym_SQUOTE, + ACTIONS(1675), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1679), 1, + anon_sym_DASH, + ACTIONS(1681), 1, + anon_sym_STAR, + ACTIONS(1683), 1, + aux_sym_interval_expression_token1, + ACTIONS(1685), 1, + anon_sym_DOLLAR, + STATE(167), 1, + sym_identifier, + STATE(225), 1, + sym__quoted_identifier, + STATE(321), 1, + sym_argument_reference, + STATE(353), 1, + sym__expression, + STATE(305), 2, + sym_dotted_name, + sym__identifier, + STATE(328), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1677), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(344), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [47370] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1651), 1, + aux_sym_cte_token2, + ACTIONS(1653), 1, + anon_sym_LPAREN, + ACTIONS(1655), 1, + aux_sym_null_hint_token3, + ACTIONS(1657), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1659), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1661), 1, + aux_sym_TRUE_token1, + ACTIONS(1663), 1, + aux_sym_FALSE_token1, + ACTIONS(1665), 1, + aux_sym_number_token1, + ACTIONS(1667), 1, + sym__unquoted_identifier, + ACTIONS(1669), 1, + anon_sym_BQUOTE, + ACTIONS(1671), 1, + anon_sym_DQUOTE, + ACTIONS(1673), 1, + anon_sym_SQUOTE, + ACTIONS(1675), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1679), 1, + anon_sym_DASH, + ACTIONS(1681), 1, + anon_sym_STAR, + ACTIONS(1683), 1, + aux_sym_interval_expression_token1, + ACTIONS(1685), 1, + anon_sym_DOLLAR, + STATE(167), 1, + sym_identifier, + STATE(225), 1, + sym__quoted_identifier, + STATE(321), 1, + sym_argument_reference, + STATE(351), 1, + sym__expression, + STATE(305), 2, + sym_dotted_name, + sym__identifier, + STATE(328), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1677), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(344), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [47473] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(611), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(609), 39, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [47530] = 16, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1537), 1, + aux_sym_cte_token2, + ACTIONS(1539), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1543), 1, + aux_sym_is_expression_token1, + ACTIONS(1545), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1547), 1, + aux_sym_boolean_expression_token2, + ACTIONS(1549), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1561), 1, + anon_sym_CARET, + ACTIONS(1565), 1, + anon_sym_SLASH, + ACTIONS(619), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1555), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1557), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1559), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1563), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(1541), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(617), 20, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + [47613] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(623), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(621), 39, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [47670] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1117), 1, + aux_sym_cte_token2, + ACTIONS(1119), 1, + anon_sym_LPAREN, + ACTIONS(1121), 1, + aux_sym_null_hint_token3, + ACTIONS(1123), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1125), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1127), 1, + aux_sym_TRUE_token1, + ACTIONS(1129), 1, + aux_sym_FALSE_token1, + ACTIONS(1131), 1, + aux_sym_number_token1, + ACTIONS(1133), 1, + sym__unquoted_identifier, + ACTIONS(1135), 1, + anon_sym_BQUOTE, + ACTIONS(1137), 1, + anon_sym_DQUOTE, + ACTIONS(1139), 1, + anon_sym_SQUOTE, + ACTIONS(1141), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1145), 1, + anon_sym_DASH, + ACTIONS(1147), 1, + anon_sym_STAR, + ACTIONS(1149), 1, + aux_sym_interval_expression_token1, + ACTIONS(1151), 1, + anon_sym_DOLLAR, + STATE(38), 1, + sym_identifier, + STATE(45), 1, + sym__quoted_identifier, + STATE(199), 1, + sym_argument_reference, + STATE(227), 1, + sym__expression, + STATE(93), 2, + sym_dotted_name, + sym__identifier, + STATE(213), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1143), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(222), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [47773] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(603), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(601), 39, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [47830] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1165), 1, + aux_sym_cte_token2, + ACTIONS(1167), 1, + anon_sym_LPAREN, + ACTIONS(1169), 1, + aux_sym_null_hint_token3, + ACTIONS(1171), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1173), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1175), 1, + aux_sym_TRUE_token1, + ACTIONS(1177), 1, + aux_sym_FALSE_token1, + ACTIONS(1179), 1, + aux_sym_number_token1, + ACTIONS(1181), 1, + sym__unquoted_identifier, + ACTIONS(1183), 1, + anon_sym_BQUOTE, + ACTIONS(1185), 1, + anon_sym_DQUOTE, + ACTIONS(1187), 1, + anon_sym_SQUOTE, + ACTIONS(1189), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1193), 1, + anon_sym_DASH, + ACTIONS(1195), 1, + anon_sym_STAR, + ACTIONS(1197), 1, + aux_sym_interval_expression_token1, + ACTIONS(1199), 1, + anon_sym_DOLLAR, + STATE(514), 1, + sym_identifier, + STATE(549), 1, + sym__quoted_identifier, + STATE(999), 1, + sym_argument_reference, + STATE(1067), 1, + sym__expression, + STATE(979), 2, + sym_dotted_name, + sym__identifier, + STATE(996), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1191), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1065), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [47933] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1117), 1, + aux_sym_cte_token2, + ACTIONS(1119), 1, + anon_sym_LPAREN, + ACTIONS(1121), 1, + aux_sym_null_hint_token3, + ACTIONS(1123), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1125), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1127), 1, + aux_sym_TRUE_token1, + ACTIONS(1129), 1, + aux_sym_FALSE_token1, + ACTIONS(1131), 1, + aux_sym_number_token1, + ACTIONS(1133), 1, + sym__unquoted_identifier, + ACTIONS(1135), 1, + anon_sym_BQUOTE, + ACTIONS(1137), 1, + anon_sym_DQUOTE, + ACTIONS(1139), 1, + anon_sym_SQUOTE, + ACTIONS(1141), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1145), 1, + anon_sym_DASH, + ACTIONS(1147), 1, + anon_sym_STAR, + ACTIONS(1149), 1, + aux_sym_interval_expression_token1, + ACTIONS(1151), 1, + anon_sym_DOLLAR, + STATE(38), 1, + sym_identifier, + STATE(45), 1, + sym__quoted_identifier, + STATE(199), 1, + sym_argument_reference, + STATE(250), 1, + sym__expression, + STATE(93), 2, + sym_dotted_name, + sym__identifier, + STATE(213), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1143), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(222), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [48036] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1117), 1, + aux_sym_cte_token2, + ACTIONS(1119), 1, + anon_sym_LPAREN, + ACTIONS(1121), 1, + aux_sym_null_hint_token3, + ACTIONS(1123), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1125), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1127), 1, + aux_sym_TRUE_token1, + ACTIONS(1129), 1, + aux_sym_FALSE_token1, + ACTIONS(1131), 1, + aux_sym_number_token1, + ACTIONS(1133), 1, + sym__unquoted_identifier, + ACTIONS(1135), 1, + anon_sym_BQUOTE, + ACTIONS(1137), 1, + anon_sym_DQUOTE, + ACTIONS(1139), 1, + anon_sym_SQUOTE, + ACTIONS(1141), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1145), 1, + anon_sym_DASH, + ACTIONS(1147), 1, + anon_sym_STAR, + ACTIONS(1149), 1, + aux_sym_interval_expression_token1, + ACTIONS(1151), 1, + anon_sym_DOLLAR, + STATE(38), 1, + sym_identifier, + STATE(45), 1, + sym__quoted_identifier, + STATE(199), 1, + sym_argument_reference, + STATE(267), 1, + sym__expression, + STATE(93), 2, + sym_dotted_name, + sym__identifier, + STATE(213), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1143), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(222), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [48139] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1165), 1, + aux_sym_cte_token2, + ACTIONS(1167), 1, + anon_sym_LPAREN, + ACTIONS(1169), 1, + aux_sym_null_hint_token3, + ACTIONS(1171), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1173), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1175), 1, + aux_sym_TRUE_token1, + ACTIONS(1177), 1, + aux_sym_FALSE_token1, + ACTIONS(1179), 1, + aux_sym_number_token1, + ACTIONS(1181), 1, + sym__unquoted_identifier, + ACTIONS(1183), 1, + anon_sym_BQUOTE, + ACTIONS(1185), 1, + anon_sym_DQUOTE, + ACTIONS(1187), 1, + anon_sym_SQUOTE, + ACTIONS(1189), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1193), 1, + anon_sym_DASH, + ACTIONS(1195), 1, + anon_sym_STAR, + ACTIONS(1197), 1, + aux_sym_interval_expression_token1, + ACTIONS(1199), 1, + anon_sym_DOLLAR, + STATE(514), 1, + sym_identifier, + STATE(549), 1, + sym__quoted_identifier, + STATE(999), 1, + sym_argument_reference, + STATE(1076), 1, + sym__expression, + STATE(979), 2, + sym_dotted_name, + sym__identifier, + STATE(996), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1191), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1065), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [48242] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1117), 1, + aux_sym_cte_token2, + ACTIONS(1119), 1, + anon_sym_LPAREN, + ACTIONS(1121), 1, + aux_sym_null_hint_token3, + ACTIONS(1123), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1125), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1127), 1, + aux_sym_TRUE_token1, + ACTIONS(1129), 1, + aux_sym_FALSE_token1, + ACTIONS(1131), 1, + aux_sym_number_token1, + ACTIONS(1133), 1, + sym__unquoted_identifier, + ACTIONS(1135), 1, + anon_sym_BQUOTE, + ACTIONS(1137), 1, + anon_sym_DQUOTE, + ACTIONS(1139), 1, + anon_sym_SQUOTE, + ACTIONS(1141), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1145), 1, + anon_sym_DASH, + ACTIONS(1147), 1, + anon_sym_STAR, + ACTIONS(1149), 1, + aux_sym_interval_expression_token1, + ACTIONS(1151), 1, + anon_sym_DOLLAR, + STATE(38), 1, + sym_identifier, + STATE(45), 1, + sym__quoted_identifier, + STATE(199), 1, + sym_argument_reference, + STATE(273), 1, + sym__expression, + STATE(93), 2, + sym_dotted_name, + sym__identifier, + STATE(213), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1143), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(222), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [48345] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1081), 1, + aux_sym_cte_token2, + ACTIONS(1083), 1, + anon_sym_LPAREN, + ACTIONS(1085), 1, + aux_sym_null_hint_token3, + ACTIONS(1087), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1089), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1091), 1, + aux_sym_TRUE_token1, + ACTIONS(1093), 1, + aux_sym_FALSE_token1, + ACTIONS(1095), 1, + aux_sym_number_token1, + ACTIONS(1097), 1, + sym__unquoted_identifier, + ACTIONS(1099), 1, + anon_sym_BQUOTE, + ACTIONS(1101), 1, + anon_sym_DQUOTE, + ACTIONS(1103), 1, + anon_sym_SQUOTE, + ACTIONS(1105), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1109), 1, + anon_sym_DASH, + ACTIONS(1111), 1, + anon_sym_STAR, + ACTIONS(1113), 1, + aux_sym_interval_expression_token1, + ACTIONS(1115), 1, + anon_sym_DOLLAR, + STATE(1098), 1, + sym_identifier, + STATE(1112), 1, + sym__quoted_identifier, + STATE(1268), 1, + sym_argument_reference, + STATE(1330), 1, + sym__expression, + STATE(1228), 2, + sym_dotted_name, + sym__identifier, + STATE(1267), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1107), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1334), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [48448] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1117), 1, + aux_sym_cte_token2, + ACTIONS(1119), 1, + anon_sym_LPAREN, + ACTIONS(1121), 1, + aux_sym_null_hint_token3, + ACTIONS(1123), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1125), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1127), 1, + aux_sym_TRUE_token1, + ACTIONS(1129), 1, + aux_sym_FALSE_token1, + ACTIONS(1131), 1, + aux_sym_number_token1, + ACTIONS(1133), 1, + sym__unquoted_identifier, + ACTIONS(1135), 1, + anon_sym_BQUOTE, + ACTIONS(1137), 1, + anon_sym_DQUOTE, + ACTIONS(1139), 1, + anon_sym_SQUOTE, + ACTIONS(1141), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1145), 1, + anon_sym_DASH, + ACTIONS(1147), 1, + anon_sym_STAR, + ACTIONS(1149), 1, + aux_sym_interval_expression_token1, + ACTIONS(1151), 1, + anon_sym_DOLLAR, + STATE(38), 1, + sym_identifier, + STATE(45), 1, + sym__quoted_identifier, + STATE(199), 1, + sym_argument_reference, + STATE(272), 1, + sym__expression, + STATE(93), 2, + sym_dotted_name, + sym__identifier, + STATE(213), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1143), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(222), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [48551] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1117), 1, + aux_sym_cte_token2, + ACTIONS(1119), 1, + anon_sym_LPAREN, + ACTIONS(1121), 1, + aux_sym_null_hint_token3, + ACTIONS(1123), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1125), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1127), 1, + aux_sym_TRUE_token1, + ACTIONS(1129), 1, + aux_sym_FALSE_token1, + ACTIONS(1131), 1, + aux_sym_number_token1, + ACTIONS(1133), 1, + sym__unquoted_identifier, + ACTIONS(1135), 1, + anon_sym_BQUOTE, + ACTIONS(1137), 1, + anon_sym_DQUOTE, + ACTIONS(1139), 1, + anon_sym_SQUOTE, + ACTIONS(1141), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1145), 1, + anon_sym_DASH, + ACTIONS(1147), 1, + anon_sym_STAR, + ACTIONS(1149), 1, + aux_sym_interval_expression_token1, + ACTIONS(1151), 1, + anon_sym_DOLLAR, + STATE(38), 1, + sym_identifier, + STATE(45), 1, + sym__quoted_identifier, + STATE(199), 1, + sym_argument_reference, + STATE(271), 1, + sym__expression, + STATE(93), 2, + sym_dotted_name, + sym__identifier, + STATE(213), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1143), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(222), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [48654] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1081), 1, + aux_sym_cte_token2, + ACTIONS(1083), 1, + anon_sym_LPAREN, + ACTIONS(1085), 1, + aux_sym_null_hint_token3, + ACTIONS(1087), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1089), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1091), 1, + aux_sym_TRUE_token1, + ACTIONS(1093), 1, + aux_sym_FALSE_token1, + ACTIONS(1095), 1, + aux_sym_number_token1, + ACTIONS(1097), 1, + sym__unquoted_identifier, + ACTIONS(1099), 1, + anon_sym_BQUOTE, + ACTIONS(1101), 1, + anon_sym_DQUOTE, + ACTIONS(1103), 1, + anon_sym_SQUOTE, + ACTIONS(1105), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1109), 1, + anon_sym_DASH, + ACTIONS(1111), 1, + anon_sym_STAR, + ACTIONS(1113), 1, + aux_sym_interval_expression_token1, + ACTIONS(1115), 1, + anon_sym_DOLLAR, + STATE(1098), 1, + sym_identifier, + STATE(1112), 1, + sym__quoted_identifier, + STATE(1268), 1, + sym_argument_reference, + STATE(1284), 1, + sym__expression, + STATE(1228), 2, + sym_dotted_name, + sym__identifier, + STATE(1267), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1107), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1334), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [48757] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1081), 1, + aux_sym_cte_token2, + ACTIONS(1083), 1, + anon_sym_LPAREN, + ACTIONS(1085), 1, + aux_sym_null_hint_token3, + ACTIONS(1087), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1089), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1091), 1, + aux_sym_TRUE_token1, + ACTIONS(1093), 1, + aux_sym_FALSE_token1, + ACTIONS(1095), 1, + aux_sym_number_token1, + ACTIONS(1097), 1, + sym__unquoted_identifier, + ACTIONS(1099), 1, + anon_sym_BQUOTE, + ACTIONS(1101), 1, + anon_sym_DQUOTE, + ACTIONS(1103), 1, + anon_sym_SQUOTE, + ACTIONS(1105), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1109), 1, + anon_sym_DASH, + ACTIONS(1111), 1, + anon_sym_STAR, + ACTIONS(1113), 1, + aux_sym_interval_expression_token1, + ACTIONS(1115), 1, + anon_sym_DOLLAR, + STATE(1098), 1, + sym_identifier, + STATE(1112), 1, + sym__quoted_identifier, + STATE(1268), 1, + sym_argument_reference, + STATE(1317), 1, + sym__expression, + STATE(1228), 2, + sym_dotted_name, + sym__identifier, + STATE(1267), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1107), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1334), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [48860] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1081), 1, + aux_sym_cte_token2, + ACTIONS(1083), 1, + anon_sym_LPAREN, + ACTIONS(1085), 1, + aux_sym_null_hint_token3, + ACTIONS(1087), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1089), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1091), 1, + aux_sym_TRUE_token1, + ACTIONS(1093), 1, + aux_sym_FALSE_token1, + ACTIONS(1095), 1, + aux_sym_number_token1, + ACTIONS(1097), 1, + sym__unquoted_identifier, + ACTIONS(1099), 1, + anon_sym_BQUOTE, + ACTIONS(1101), 1, + anon_sym_DQUOTE, + ACTIONS(1103), 1, + anon_sym_SQUOTE, + ACTIONS(1105), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1109), 1, + anon_sym_DASH, + ACTIONS(1111), 1, + anon_sym_STAR, + ACTIONS(1113), 1, + aux_sym_interval_expression_token1, + ACTIONS(1115), 1, + anon_sym_DOLLAR, + STATE(1098), 1, + sym_identifier, + STATE(1112), 1, + sym__quoted_identifier, + STATE(1268), 1, + sym_argument_reference, + STATE(1316), 1, + sym__expression, + STATE(1228), 2, + sym_dotted_name, + sym__identifier, + STATE(1267), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1107), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1334), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [48963] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1081), 1, + aux_sym_cte_token2, + ACTIONS(1083), 1, + anon_sym_LPAREN, + ACTIONS(1085), 1, + aux_sym_null_hint_token3, + ACTIONS(1087), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1089), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1091), 1, + aux_sym_TRUE_token1, + ACTIONS(1093), 1, + aux_sym_FALSE_token1, + ACTIONS(1095), 1, + aux_sym_number_token1, + ACTIONS(1097), 1, + sym__unquoted_identifier, + ACTIONS(1099), 1, + anon_sym_BQUOTE, + ACTIONS(1101), 1, + anon_sym_DQUOTE, + ACTIONS(1103), 1, + anon_sym_SQUOTE, + ACTIONS(1105), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1109), 1, + anon_sym_DASH, + ACTIONS(1111), 1, + anon_sym_STAR, + ACTIONS(1113), 1, + aux_sym_interval_expression_token1, + ACTIONS(1115), 1, + anon_sym_DOLLAR, + STATE(1098), 1, + sym_identifier, + STATE(1112), 1, + sym__quoted_identifier, + STATE(1268), 1, + sym_argument_reference, + STATE(1314), 1, + sym__expression, + STATE(1228), 2, + sym_dotted_name, + sym__identifier, + STATE(1267), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1107), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1334), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [49066] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1081), 1, + aux_sym_cte_token2, + ACTIONS(1083), 1, + anon_sym_LPAREN, + ACTIONS(1085), 1, + aux_sym_null_hint_token3, + ACTIONS(1087), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1089), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1091), 1, + aux_sym_TRUE_token1, + ACTIONS(1093), 1, + aux_sym_FALSE_token1, + ACTIONS(1095), 1, + aux_sym_number_token1, + ACTIONS(1097), 1, + sym__unquoted_identifier, + ACTIONS(1099), 1, + anon_sym_BQUOTE, + ACTIONS(1101), 1, + anon_sym_DQUOTE, + ACTIONS(1103), 1, + anon_sym_SQUOTE, + ACTIONS(1105), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1109), 1, + anon_sym_DASH, + ACTIONS(1111), 1, + anon_sym_STAR, + ACTIONS(1113), 1, + aux_sym_interval_expression_token1, + ACTIONS(1115), 1, + anon_sym_DOLLAR, + STATE(1098), 1, + sym_identifier, + STATE(1112), 1, + sym__quoted_identifier, + STATE(1268), 1, + sym_argument_reference, + STATE(1313), 1, + sym__expression, + STATE(1228), 2, + sym_dotted_name, + sym__identifier, + STATE(1267), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1107), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1334), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [49169] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1651), 1, + aux_sym_cte_token2, + ACTIONS(1653), 1, + anon_sym_LPAREN, + ACTIONS(1655), 1, + aux_sym_null_hint_token3, + ACTIONS(1657), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1659), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1661), 1, + aux_sym_TRUE_token1, + ACTIONS(1663), 1, + aux_sym_FALSE_token1, + ACTIONS(1665), 1, + aux_sym_number_token1, + ACTIONS(1667), 1, + sym__unquoted_identifier, + ACTIONS(1669), 1, + anon_sym_BQUOTE, + ACTIONS(1671), 1, + anon_sym_DQUOTE, + ACTIONS(1673), 1, + anon_sym_SQUOTE, + ACTIONS(1675), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1679), 1, + anon_sym_DASH, + ACTIONS(1681), 1, + anon_sym_STAR, + ACTIONS(1683), 1, + aux_sym_interval_expression_token1, + ACTIONS(1685), 1, + anon_sym_DOLLAR, + STATE(167), 1, + sym_identifier, + STATE(225), 1, + sym__quoted_identifier, + STATE(321), 1, + sym_argument_reference, + STATE(342), 1, + sym__expression, + STATE(305), 2, + sym_dotted_name, + sym__identifier, + STATE(328), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1677), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(344), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [49272] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1081), 1, + aux_sym_cte_token2, + ACTIONS(1083), 1, + anon_sym_LPAREN, + ACTIONS(1085), 1, + aux_sym_null_hint_token3, + ACTIONS(1087), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1089), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1091), 1, + aux_sym_TRUE_token1, + ACTIONS(1093), 1, + aux_sym_FALSE_token1, + ACTIONS(1095), 1, + aux_sym_number_token1, + ACTIONS(1097), 1, + sym__unquoted_identifier, + ACTIONS(1099), 1, + anon_sym_BQUOTE, + ACTIONS(1101), 1, + anon_sym_DQUOTE, + ACTIONS(1103), 1, + anon_sym_SQUOTE, + ACTIONS(1105), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1109), 1, + anon_sym_DASH, + ACTIONS(1111), 1, + anon_sym_STAR, + ACTIONS(1113), 1, + aux_sym_interval_expression_token1, + ACTIONS(1115), 1, + anon_sym_DOLLAR, + STATE(1098), 1, + sym_identifier, + STATE(1112), 1, + sym__quoted_identifier, + STATE(1268), 1, + sym_argument_reference, + STATE(1312), 1, + sym__expression, + STATE(1228), 2, + sym_dotted_name, + sym__identifier, + STATE(1267), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1107), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1334), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [49375] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1165), 1, + aux_sym_cte_token2, + ACTIONS(1167), 1, + anon_sym_LPAREN, + ACTIONS(1169), 1, + aux_sym_null_hint_token3, + ACTIONS(1171), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1173), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1175), 1, + aux_sym_TRUE_token1, + ACTIONS(1177), 1, + aux_sym_FALSE_token1, + ACTIONS(1179), 1, + aux_sym_number_token1, + ACTIONS(1181), 1, + sym__unquoted_identifier, + ACTIONS(1183), 1, + anon_sym_BQUOTE, + ACTIONS(1185), 1, + anon_sym_DQUOTE, + ACTIONS(1187), 1, + anon_sym_SQUOTE, + ACTIONS(1189), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1193), 1, + anon_sym_DASH, + ACTIONS(1195), 1, + anon_sym_STAR, + ACTIONS(1197), 1, + aux_sym_interval_expression_token1, + ACTIONS(1199), 1, + anon_sym_DOLLAR, + STATE(514), 1, + sym_identifier, + STATE(549), 1, + sym__quoted_identifier, + STATE(999), 1, + sym_argument_reference, + STATE(1062), 1, + sym__expression, + STATE(979), 2, + sym_dotted_name, + sym__identifier, + STATE(996), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1191), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1065), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [49478] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1117), 1, + aux_sym_cte_token2, + ACTIONS(1119), 1, + anon_sym_LPAREN, + ACTIONS(1121), 1, + aux_sym_null_hint_token3, + ACTIONS(1123), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1125), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1127), 1, + aux_sym_TRUE_token1, + ACTIONS(1129), 1, + aux_sym_FALSE_token1, + ACTIONS(1131), 1, + aux_sym_number_token1, + ACTIONS(1133), 1, + sym__unquoted_identifier, + ACTIONS(1135), 1, + anon_sym_BQUOTE, + ACTIONS(1137), 1, + anon_sym_DQUOTE, + ACTIONS(1139), 1, + anon_sym_SQUOTE, + ACTIONS(1141), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1145), 1, + anon_sym_DASH, + ACTIONS(1147), 1, + anon_sym_STAR, + ACTIONS(1149), 1, + aux_sym_interval_expression_token1, + ACTIONS(1151), 1, + anon_sym_DOLLAR, + STATE(38), 1, + sym_identifier, + STATE(45), 1, + sym__quoted_identifier, + STATE(199), 1, + sym_argument_reference, + STATE(215), 1, + sym__expression, + STATE(93), 2, + sym_dotted_name, + sym__identifier, + STATE(213), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1143), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(222), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [49581] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1596), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [49684] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1633), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [49787] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(577), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [49890] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1599), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [49993] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1081), 1, + aux_sym_cte_token2, + ACTIONS(1083), 1, + anon_sym_LPAREN, + ACTIONS(1085), 1, + aux_sym_null_hint_token3, + ACTIONS(1087), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1089), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1091), 1, + aux_sym_TRUE_token1, + ACTIONS(1093), 1, + aux_sym_FALSE_token1, + ACTIONS(1095), 1, + aux_sym_number_token1, + ACTIONS(1097), 1, + sym__unquoted_identifier, + ACTIONS(1099), 1, + anon_sym_BQUOTE, + ACTIONS(1101), 1, + anon_sym_DQUOTE, + ACTIONS(1103), 1, + anon_sym_SQUOTE, + ACTIONS(1105), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1109), 1, + anon_sym_DASH, + ACTIONS(1111), 1, + anon_sym_STAR, + ACTIONS(1113), 1, + aux_sym_interval_expression_token1, + ACTIONS(1115), 1, + anon_sym_DOLLAR, + STATE(1098), 1, + sym_identifier, + STATE(1112), 1, + sym__quoted_identifier, + STATE(1268), 1, + sym_argument_reference, + STATE(1302), 1, + sym__expression, + STATE(1228), 2, + sym_dotted_name, + sym__identifier, + STATE(1267), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1107), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1334), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [50096] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1081), 1, + aux_sym_cte_token2, + ACTIONS(1083), 1, + anon_sym_LPAREN, + ACTIONS(1085), 1, + aux_sym_null_hint_token3, + ACTIONS(1087), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1089), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1091), 1, + aux_sym_TRUE_token1, + ACTIONS(1093), 1, + aux_sym_FALSE_token1, + ACTIONS(1095), 1, + aux_sym_number_token1, + ACTIONS(1097), 1, + sym__unquoted_identifier, + ACTIONS(1099), 1, + anon_sym_BQUOTE, + ACTIONS(1101), 1, + anon_sym_DQUOTE, + ACTIONS(1103), 1, + anon_sym_SQUOTE, + ACTIONS(1105), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1109), 1, + anon_sym_DASH, + ACTIONS(1111), 1, + anon_sym_STAR, + ACTIONS(1113), 1, + aux_sym_interval_expression_token1, + ACTIONS(1115), 1, + anon_sym_DOLLAR, + STATE(1098), 1, + sym_identifier, + STATE(1112), 1, + sym__quoted_identifier, + STATE(1268), 1, + sym_argument_reference, + STATE(1301), 1, + sym__expression, + STATE(1228), 2, + sym_dotted_name, + sym__identifier, + STATE(1267), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1107), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1334), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [50199] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1332), 1, + aux_sym_cte_token2, + ACTIONS(1334), 1, + anon_sym_LPAREN, + ACTIONS(1336), 1, + aux_sym_null_hint_token3, + ACTIONS(1338), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1340), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1342), 1, + aux_sym_TRUE_token1, + ACTIONS(1344), 1, + aux_sym_FALSE_token1, + ACTIONS(1346), 1, + aux_sym_number_token1, + ACTIONS(1348), 1, + sym__unquoted_identifier, + ACTIONS(1350), 1, + anon_sym_BQUOTE, + ACTIONS(1352), 1, + anon_sym_DQUOTE, + ACTIONS(1354), 1, + anon_sym_SQUOTE, + ACTIONS(1356), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1360), 1, + anon_sym_DASH, + ACTIONS(1362), 1, + anon_sym_STAR, + ACTIONS(1364), 1, + aux_sym_interval_expression_token1, + ACTIONS(1366), 1, + anon_sym_DOLLAR, + STATE(1091), 1, + sym_identifier, + STATE(1110), 1, + sym__quoted_identifier, + STATE(1279), 1, + sym_argument_reference, + STATE(1293), 1, + sym__expression, + STATE(1226), 2, + sym_dotted_name, + sym__identifier, + STATE(1278), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1358), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1295), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [50302] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1045), 1, + aux_sym_cte_token2, + ACTIONS(1047), 1, + anon_sym_LPAREN, + ACTIONS(1049), 1, + aux_sym_null_hint_token3, + ACTIONS(1051), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1053), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1055), 1, + aux_sym_TRUE_token1, + ACTIONS(1057), 1, + aux_sym_FALSE_token1, + ACTIONS(1059), 1, + aux_sym_number_token1, + ACTIONS(1061), 1, + sym__unquoted_identifier, + ACTIONS(1063), 1, + anon_sym_BQUOTE, + ACTIONS(1065), 1, + anon_sym_DQUOTE, + ACTIONS(1067), 1, + anon_sym_SQUOTE, + ACTIONS(1069), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1073), 1, + anon_sym_DASH, + ACTIONS(1075), 1, + anon_sym_STAR, + ACTIONS(1077), 1, + aux_sym_interval_expression_token1, + ACTIONS(1079), 1, + anon_sym_DOLLAR, + STATE(1131), 1, + sym_identifier, + STATE(1209), 1, + sym__quoted_identifier, + STATE(1402), 1, + sym_argument_reference, + STATE(1453), 1, + sym__expression, + STATE(1289), 2, + sym_dotted_name, + sym__identifier, + STATE(1401), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1071), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1457), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [50405] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1623), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [50508] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1332), 1, + aux_sym_cte_token2, + ACTIONS(1334), 1, + anon_sym_LPAREN, + ACTIONS(1336), 1, + aux_sym_null_hint_token3, + ACTIONS(1338), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1340), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1342), 1, + aux_sym_TRUE_token1, + ACTIONS(1344), 1, + aux_sym_FALSE_token1, + ACTIONS(1346), 1, + aux_sym_number_token1, + ACTIONS(1348), 1, + sym__unquoted_identifier, + ACTIONS(1350), 1, + anon_sym_BQUOTE, + ACTIONS(1352), 1, + anon_sym_DQUOTE, + ACTIONS(1354), 1, + anon_sym_SQUOTE, + ACTIONS(1356), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1360), 1, + anon_sym_DASH, + ACTIONS(1362), 1, + anon_sym_STAR, + ACTIONS(1364), 1, + aux_sym_interval_expression_token1, + ACTIONS(1366), 1, + anon_sym_DOLLAR, + STATE(1091), 1, + sym_identifier, + STATE(1110), 1, + sym__quoted_identifier, + STATE(1279), 1, + sym_argument_reference, + STATE(1320), 1, + sym__expression, + STATE(1226), 2, + sym_dotted_name, + sym__identifier, + STATE(1278), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1358), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1295), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [50611] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1332), 1, + aux_sym_cte_token2, + ACTIONS(1334), 1, + anon_sym_LPAREN, + ACTIONS(1336), 1, + aux_sym_null_hint_token3, + ACTIONS(1338), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1340), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1342), 1, + aux_sym_TRUE_token1, + ACTIONS(1344), 1, + aux_sym_FALSE_token1, + ACTIONS(1346), 1, + aux_sym_number_token1, + ACTIONS(1348), 1, + sym__unquoted_identifier, + ACTIONS(1350), 1, + anon_sym_BQUOTE, + ACTIONS(1352), 1, + anon_sym_DQUOTE, + ACTIONS(1354), 1, + anon_sym_SQUOTE, + ACTIONS(1356), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1360), 1, + anon_sym_DASH, + ACTIONS(1362), 1, + anon_sym_STAR, + ACTIONS(1364), 1, + aux_sym_interval_expression_token1, + ACTIONS(1366), 1, + anon_sym_DOLLAR, + STATE(1091), 1, + sym_identifier, + STATE(1110), 1, + sym__quoted_identifier, + STATE(1279), 1, + sym_argument_reference, + STATE(1300), 1, + sym__expression, + STATE(1226), 2, + sym_dotted_name, + sym__identifier, + STATE(1278), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1358), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1295), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [50714] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1332), 1, + aux_sym_cte_token2, + ACTIONS(1334), 1, + anon_sym_LPAREN, + ACTIONS(1336), 1, + aux_sym_null_hint_token3, + ACTIONS(1338), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1340), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1342), 1, + aux_sym_TRUE_token1, + ACTIONS(1344), 1, + aux_sym_FALSE_token1, + ACTIONS(1346), 1, + aux_sym_number_token1, + ACTIONS(1348), 1, + sym__unquoted_identifier, + ACTIONS(1350), 1, + anon_sym_BQUOTE, + ACTIONS(1352), 1, + anon_sym_DQUOTE, + ACTIONS(1354), 1, + anon_sym_SQUOTE, + ACTIONS(1356), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1360), 1, + anon_sym_DASH, + ACTIONS(1362), 1, + anon_sym_STAR, + ACTIONS(1364), 1, + aux_sym_interval_expression_token1, + ACTIONS(1366), 1, + anon_sym_DOLLAR, + STATE(1091), 1, + sym_identifier, + STATE(1110), 1, + sym__quoted_identifier, + STATE(1279), 1, + sym_argument_reference, + STATE(1310), 1, + sym__expression, + STATE(1226), 2, + sym_dotted_name, + sym__identifier, + STATE(1278), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1358), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1295), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [50817] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1332), 1, + aux_sym_cte_token2, + ACTIONS(1334), 1, + anon_sym_LPAREN, + ACTIONS(1336), 1, + aux_sym_null_hint_token3, + ACTIONS(1338), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1340), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1342), 1, + aux_sym_TRUE_token1, + ACTIONS(1344), 1, + aux_sym_FALSE_token1, + ACTIONS(1346), 1, + aux_sym_number_token1, + ACTIONS(1348), 1, + sym__unquoted_identifier, + ACTIONS(1350), 1, + anon_sym_BQUOTE, + ACTIONS(1352), 1, + anon_sym_DQUOTE, + ACTIONS(1354), 1, + anon_sym_SQUOTE, + ACTIONS(1356), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1360), 1, + anon_sym_DASH, + ACTIONS(1362), 1, + anon_sym_STAR, + ACTIONS(1364), 1, + aux_sym_interval_expression_token1, + ACTIONS(1366), 1, + anon_sym_DOLLAR, + STATE(1091), 1, + sym_identifier, + STATE(1110), 1, + sym__quoted_identifier, + STATE(1279), 1, + sym_argument_reference, + STATE(1325), 1, + sym__expression, + STATE(1226), 2, + sym_dotted_name, + sym__identifier, + STATE(1278), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1358), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1295), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [50920] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1332), 1, + aux_sym_cte_token2, + ACTIONS(1334), 1, + anon_sym_LPAREN, + ACTIONS(1336), 1, + aux_sym_null_hint_token3, + ACTIONS(1338), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1340), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1342), 1, + aux_sym_TRUE_token1, + ACTIONS(1344), 1, + aux_sym_FALSE_token1, + ACTIONS(1346), 1, + aux_sym_number_token1, + ACTIONS(1348), 1, + sym__unquoted_identifier, + ACTIONS(1350), 1, + anon_sym_BQUOTE, + ACTIONS(1352), 1, + anon_sym_DQUOTE, + ACTIONS(1354), 1, + anon_sym_SQUOTE, + ACTIONS(1356), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1360), 1, + anon_sym_DASH, + ACTIONS(1362), 1, + anon_sym_STAR, + ACTIONS(1364), 1, + aux_sym_interval_expression_token1, + ACTIONS(1366), 1, + anon_sym_DOLLAR, + STATE(1091), 1, + sym_identifier, + STATE(1110), 1, + sym__quoted_identifier, + STATE(1279), 1, + sym_argument_reference, + STATE(1332), 1, + sym__expression, + STATE(1226), 2, + sym_dotted_name, + sym__identifier, + STATE(1278), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1358), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1295), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [51023] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1332), 1, + aux_sym_cte_token2, + ACTIONS(1334), 1, + anon_sym_LPAREN, + ACTIONS(1336), 1, + aux_sym_null_hint_token3, + ACTIONS(1338), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1340), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1342), 1, + aux_sym_TRUE_token1, + ACTIONS(1344), 1, + aux_sym_FALSE_token1, + ACTIONS(1346), 1, + aux_sym_number_token1, + ACTIONS(1348), 1, + sym__unquoted_identifier, + ACTIONS(1350), 1, + anon_sym_BQUOTE, + ACTIONS(1352), 1, + anon_sym_DQUOTE, + ACTIONS(1354), 1, + anon_sym_SQUOTE, + ACTIONS(1356), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1360), 1, + anon_sym_DASH, + ACTIONS(1362), 1, + anon_sym_STAR, + ACTIONS(1364), 1, + aux_sym_interval_expression_token1, + ACTIONS(1366), 1, + anon_sym_DOLLAR, + STATE(1091), 1, + sym_identifier, + STATE(1110), 1, + sym__quoted_identifier, + STATE(1279), 1, + sym_argument_reference, + STATE(1333), 1, + sym__expression, + STATE(1226), 2, + sym_dotted_name, + sym__identifier, + STATE(1278), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1358), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1295), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [51126] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1552), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [51229] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1165), 1, + aux_sym_cte_token2, + ACTIONS(1167), 1, + anon_sym_LPAREN, + ACTIONS(1169), 1, + aux_sym_null_hint_token3, + ACTIONS(1171), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1173), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1175), 1, + aux_sym_TRUE_token1, + ACTIONS(1177), 1, + aux_sym_FALSE_token1, + ACTIONS(1179), 1, + aux_sym_number_token1, + ACTIONS(1181), 1, + sym__unquoted_identifier, + ACTIONS(1183), 1, + anon_sym_BQUOTE, + ACTIONS(1185), 1, + anon_sym_DQUOTE, + ACTIONS(1187), 1, + anon_sym_SQUOTE, + ACTIONS(1189), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1193), 1, + anon_sym_DASH, + ACTIONS(1195), 1, + anon_sym_STAR, + ACTIONS(1197), 1, + aux_sym_interval_expression_token1, + ACTIONS(1199), 1, + anon_sym_DOLLAR, + STATE(514), 1, + sym_identifier, + STATE(549), 1, + sym__quoted_identifier, + STATE(999), 1, + sym_argument_reference, + STATE(1045), 1, + sym__expression, + STATE(979), 2, + sym_dotted_name, + sym__identifier, + STATE(996), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1191), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1065), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [51332] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1045), 1, + aux_sym_cte_token2, + ACTIONS(1047), 1, + anon_sym_LPAREN, + ACTIONS(1049), 1, + aux_sym_null_hint_token3, + ACTIONS(1051), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1053), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1055), 1, + aux_sym_TRUE_token1, + ACTIONS(1057), 1, + aux_sym_FALSE_token1, + ACTIONS(1059), 1, + aux_sym_number_token1, + ACTIONS(1061), 1, + sym__unquoted_identifier, + ACTIONS(1063), 1, + anon_sym_BQUOTE, + ACTIONS(1065), 1, + anon_sym_DQUOTE, + ACTIONS(1067), 1, + anon_sym_SQUOTE, + ACTIONS(1069), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1073), 1, + anon_sym_DASH, + ACTIONS(1075), 1, + anon_sym_STAR, + ACTIONS(1077), 1, + aux_sym_interval_expression_token1, + ACTIONS(1079), 1, + anon_sym_DOLLAR, + STATE(1131), 1, + sym_identifier, + STATE(1209), 1, + sym__quoted_identifier, + STATE(1402), 1, + sym_argument_reference, + STATE(1439), 1, + sym__expression, + STATE(1289), 2, + sym_dotted_name, + sym__identifier, + STATE(1401), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1071), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1457), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [51435] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1045), 1, + aux_sym_cte_token2, + ACTIONS(1047), 1, + anon_sym_LPAREN, + ACTIONS(1049), 1, + aux_sym_null_hint_token3, + ACTIONS(1051), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1053), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1055), 1, + aux_sym_TRUE_token1, + ACTIONS(1057), 1, + aux_sym_FALSE_token1, + ACTIONS(1059), 1, + aux_sym_number_token1, + ACTIONS(1061), 1, + sym__unquoted_identifier, + ACTIONS(1063), 1, + anon_sym_BQUOTE, + ACTIONS(1065), 1, + anon_sym_DQUOTE, + ACTIONS(1067), 1, + anon_sym_SQUOTE, + ACTIONS(1069), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1073), 1, + anon_sym_DASH, + ACTIONS(1075), 1, + anon_sym_STAR, + ACTIONS(1077), 1, + aux_sym_interval_expression_token1, + ACTIONS(1079), 1, + anon_sym_DOLLAR, + STATE(1131), 1, + sym_identifier, + STATE(1209), 1, + sym__quoted_identifier, + STATE(1402), 1, + sym_argument_reference, + STATE(1436), 1, + sym__expression, + STATE(1289), 2, + sym_dotted_name, + sym__identifier, + STATE(1401), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1071), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1457), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [51538] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1045), 1, + aux_sym_cte_token2, + ACTIONS(1047), 1, + anon_sym_LPAREN, + ACTIONS(1049), 1, + aux_sym_null_hint_token3, + ACTIONS(1051), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1053), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1055), 1, + aux_sym_TRUE_token1, + ACTIONS(1057), 1, + aux_sym_FALSE_token1, + ACTIONS(1059), 1, + aux_sym_number_token1, + ACTIONS(1061), 1, + sym__unquoted_identifier, + ACTIONS(1063), 1, + anon_sym_BQUOTE, + ACTIONS(1065), 1, + anon_sym_DQUOTE, + ACTIONS(1067), 1, + anon_sym_SQUOTE, + ACTIONS(1069), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1073), 1, + anon_sym_DASH, + ACTIONS(1075), 1, + anon_sym_STAR, + ACTIONS(1077), 1, + aux_sym_interval_expression_token1, + ACTIONS(1079), 1, + anon_sym_DOLLAR, + STATE(1131), 1, + sym_identifier, + STATE(1209), 1, + sym__quoted_identifier, + STATE(1402), 1, + sym_argument_reference, + STATE(1435), 1, + sym__expression, + STATE(1289), 2, + sym_dotted_name, + sym__identifier, + STATE(1401), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1071), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1457), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [51641] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1045), 1, + aux_sym_cte_token2, + ACTIONS(1047), 1, + anon_sym_LPAREN, + ACTIONS(1049), 1, + aux_sym_null_hint_token3, + ACTIONS(1051), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1053), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1055), 1, + aux_sym_TRUE_token1, + ACTIONS(1057), 1, + aux_sym_FALSE_token1, + ACTIONS(1059), 1, + aux_sym_number_token1, + ACTIONS(1061), 1, + sym__unquoted_identifier, + ACTIONS(1063), 1, + anon_sym_BQUOTE, + ACTIONS(1065), 1, + anon_sym_DQUOTE, + ACTIONS(1067), 1, + anon_sym_SQUOTE, + ACTIONS(1069), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1073), 1, + anon_sym_DASH, + ACTIONS(1075), 1, + anon_sym_STAR, + ACTIONS(1077), 1, + aux_sym_interval_expression_token1, + ACTIONS(1079), 1, + anon_sym_DOLLAR, + STATE(1131), 1, + sym_identifier, + STATE(1209), 1, + sym__quoted_identifier, + STATE(1402), 1, + sym_argument_reference, + STATE(1434), 1, + sym__expression, + STATE(1289), 2, + sym_dotted_name, + sym__identifier, + STATE(1401), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1071), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1457), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [51744] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1045), 1, + aux_sym_cte_token2, + ACTIONS(1047), 1, + anon_sym_LPAREN, + ACTIONS(1049), 1, + aux_sym_null_hint_token3, + ACTIONS(1051), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1053), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1055), 1, + aux_sym_TRUE_token1, + ACTIONS(1057), 1, + aux_sym_FALSE_token1, + ACTIONS(1059), 1, + aux_sym_number_token1, + ACTIONS(1061), 1, + sym__unquoted_identifier, + ACTIONS(1063), 1, + anon_sym_BQUOTE, + ACTIONS(1065), 1, + anon_sym_DQUOTE, + ACTIONS(1067), 1, + anon_sym_SQUOTE, + ACTIONS(1069), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1073), 1, + anon_sym_DASH, + ACTIONS(1075), 1, + anon_sym_STAR, + ACTIONS(1077), 1, + aux_sym_interval_expression_token1, + ACTIONS(1079), 1, + anon_sym_DOLLAR, + STATE(1131), 1, + sym_identifier, + STATE(1209), 1, + sym__quoted_identifier, + STATE(1402), 1, + sym_argument_reference, + STATE(1432), 1, + sym__expression, + STATE(1289), 2, + sym_dotted_name, + sym__identifier, + STATE(1401), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1071), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1457), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [51847] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(580), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [51950] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1045), 1, + aux_sym_cte_token2, + ACTIONS(1047), 1, + anon_sym_LPAREN, + ACTIONS(1049), 1, + aux_sym_null_hint_token3, + ACTIONS(1051), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1053), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1055), 1, + aux_sym_TRUE_token1, + ACTIONS(1057), 1, + aux_sym_FALSE_token1, + ACTIONS(1059), 1, + aux_sym_number_token1, + ACTIONS(1061), 1, + sym__unquoted_identifier, + ACTIONS(1063), 1, + anon_sym_BQUOTE, + ACTIONS(1065), 1, + anon_sym_DQUOTE, + ACTIONS(1067), 1, + anon_sym_SQUOTE, + ACTIONS(1069), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1073), 1, + anon_sym_DASH, + ACTIONS(1075), 1, + anon_sym_STAR, + ACTIONS(1077), 1, + aux_sym_interval_expression_token1, + ACTIONS(1079), 1, + anon_sym_DOLLAR, + STATE(1131), 1, + sym_identifier, + STATE(1209), 1, + sym__quoted_identifier, + STATE(1402), 1, + sym_argument_reference, + STATE(1431), 1, + sym__expression, + STATE(1289), 2, + sym_dotted_name, + sym__identifier, + STATE(1401), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1071), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1457), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [52053] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(575), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [52156] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(566), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [52259] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1625), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [52362] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(557), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [52465] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(555), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [52568] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(547), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [52671] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1620), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [52774] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1389), 1, + aux_sym_cte_token2, + ACTIONS(1391), 1, + anon_sym_LPAREN, + ACTIONS(1395), 1, + aux_sym_null_hint_token3, + ACTIONS(1397), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1399), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1401), 1, + aux_sym_TRUE_token1, + ACTIONS(1403), 1, + aux_sym_FALSE_token1, + ACTIONS(1405), 1, + aux_sym_number_token1, + ACTIONS(1407), 1, + sym__unquoted_identifier, + ACTIONS(1409), 1, + anon_sym_BQUOTE, + ACTIONS(1411), 1, + anon_sym_DQUOTE, + ACTIONS(1413), 1, + anon_sym_SQUOTE, + ACTIONS(1415), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1419), 1, + anon_sym_DASH, + ACTIONS(1421), 1, + anon_sym_STAR, + ACTIONS(1423), 1, + aux_sym_interval_expression_token1, + ACTIONS(1425), 1, + anon_sym_DOLLAR, + STATE(24), 1, + sym__quoted_identifier, + STATE(37), 1, + sym_identifier, + STATE(144), 1, + sym_argument_reference, + STATE(310), 1, + sym__expression, + STATE(129), 2, + sym_dotted_name, + sym__identifier, + STATE(143), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1417), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(255), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [52877] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1497), 1, + aux_sym_cte_token2, + ACTIONS(1499), 1, + anon_sym_LPAREN, + ACTIONS(1501), 1, + aux_sym_null_hint_token3, + ACTIONS(1503), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1505), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1507), 1, + aux_sym_TRUE_token1, + ACTIONS(1509), 1, + aux_sym_FALSE_token1, + ACTIONS(1511), 1, + aux_sym_number_token1, + ACTIONS(1513), 1, + sym__unquoted_identifier, + ACTIONS(1515), 1, + anon_sym_BQUOTE, + ACTIONS(1517), 1, + anon_sym_DQUOTE, + ACTIONS(1519), 1, + anon_sym_SQUOTE, + ACTIONS(1521), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1525), 1, + anon_sym_DASH, + ACTIONS(1527), 1, + anon_sym_STAR, + ACTIONS(1529), 1, + aux_sym_interval_expression_token1, + ACTIONS(1531), 1, + anon_sym_DOLLAR, + STATE(318), 1, + sym_identifier, + STATE(373), 1, + sym__quoted_identifier, + STATE(518), 1, + sym_argument_reference, + STATE(595), 1, + sym__expression, + STATE(451), 2, + sym_dotted_name, + sym__identifier, + STATE(515), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1523), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(571), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [52980] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1497), 1, + aux_sym_cte_token2, + ACTIONS(1499), 1, + anon_sym_LPAREN, + ACTIONS(1501), 1, + aux_sym_null_hint_token3, + ACTIONS(1503), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1505), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1507), 1, + aux_sym_TRUE_token1, + ACTIONS(1509), 1, + aux_sym_FALSE_token1, + ACTIONS(1511), 1, + aux_sym_number_token1, + ACTIONS(1513), 1, + sym__unquoted_identifier, + ACTIONS(1515), 1, + anon_sym_BQUOTE, + ACTIONS(1517), 1, + anon_sym_DQUOTE, + ACTIONS(1519), 1, + anon_sym_SQUOTE, + ACTIONS(1521), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1525), 1, + anon_sym_DASH, + ACTIONS(1527), 1, + anon_sym_STAR, + ACTIONS(1529), 1, + aux_sym_interval_expression_token1, + ACTIONS(1531), 1, + anon_sym_DOLLAR, + STATE(318), 1, + sym_identifier, + STATE(373), 1, + sym__quoted_identifier, + STATE(518), 1, + sym_argument_reference, + STATE(589), 1, + sym__expression, + STATE(451), 2, + sym_dotted_name, + sym__identifier, + STATE(515), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1523), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(571), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [53083] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1497), 1, + aux_sym_cte_token2, + ACTIONS(1499), 1, + anon_sym_LPAREN, + ACTIONS(1501), 1, + aux_sym_null_hint_token3, + ACTIONS(1503), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1505), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1507), 1, + aux_sym_TRUE_token1, + ACTIONS(1509), 1, + aux_sym_FALSE_token1, + ACTIONS(1511), 1, + aux_sym_number_token1, + ACTIONS(1513), 1, + sym__unquoted_identifier, + ACTIONS(1515), 1, + anon_sym_BQUOTE, + ACTIONS(1517), 1, + anon_sym_DQUOTE, + ACTIONS(1519), 1, + anon_sym_SQUOTE, + ACTIONS(1521), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1525), 1, + anon_sym_DASH, + ACTIONS(1527), 1, + anon_sym_STAR, + ACTIONS(1529), 1, + aux_sym_interval_expression_token1, + ACTIONS(1531), 1, + anon_sym_DOLLAR, + STATE(318), 1, + sym_identifier, + STATE(373), 1, + sym__quoted_identifier, + STATE(518), 1, + sym_argument_reference, + STATE(588), 1, + sym__expression, + STATE(451), 2, + sym_dotted_name, + sym__identifier, + STATE(515), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1523), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(571), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [53186] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1586), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [53289] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1497), 1, + aux_sym_cte_token2, + ACTIONS(1499), 1, + anon_sym_LPAREN, + ACTIONS(1501), 1, + aux_sym_null_hint_token3, + ACTIONS(1503), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1505), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1507), 1, + aux_sym_TRUE_token1, + ACTIONS(1509), 1, + aux_sym_FALSE_token1, + ACTIONS(1511), 1, + aux_sym_number_token1, + ACTIONS(1513), 1, + sym__unquoted_identifier, + ACTIONS(1515), 1, + anon_sym_BQUOTE, + ACTIONS(1517), 1, + anon_sym_DQUOTE, + ACTIONS(1519), 1, + anon_sym_SQUOTE, + ACTIONS(1521), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1525), 1, + anon_sym_DASH, + ACTIONS(1527), 1, + anon_sym_STAR, + ACTIONS(1529), 1, + aux_sym_interval_expression_token1, + ACTIONS(1531), 1, + anon_sym_DOLLAR, + STATE(318), 1, + sym_identifier, + STATE(373), 1, + sym__quoted_identifier, + STATE(518), 1, + sym_argument_reference, + STATE(604), 1, + sym__expression, + STATE(451), 2, + sym_dotted_name, + sym__identifier, + STATE(515), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1523), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(571), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [53392] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1497), 1, + aux_sym_cte_token2, + ACTIONS(1499), 1, + anon_sym_LPAREN, + ACTIONS(1501), 1, + aux_sym_null_hint_token3, + ACTIONS(1503), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1505), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1507), 1, + aux_sym_TRUE_token1, + ACTIONS(1509), 1, + aux_sym_FALSE_token1, + ACTIONS(1511), 1, + aux_sym_number_token1, + ACTIONS(1513), 1, + sym__unquoted_identifier, + ACTIONS(1515), 1, + anon_sym_BQUOTE, + ACTIONS(1517), 1, + anon_sym_DQUOTE, + ACTIONS(1519), 1, + anon_sym_SQUOTE, + ACTIONS(1521), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1525), 1, + anon_sym_DASH, + ACTIONS(1527), 1, + anon_sym_STAR, + ACTIONS(1529), 1, + aux_sym_interval_expression_token1, + ACTIONS(1531), 1, + anon_sym_DOLLAR, + STATE(318), 1, + sym_identifier, + STATE(373), 1, + sym__quoted_identifier, + STATE(518), 1, + sym_argument_reference, + STATE(599), 1, + sym__expression, + STATE(451), 2, + sym_dotted_name, + sym__identifier, + STATE(515), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1523), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(571), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [53495] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1622), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [53598] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1332), 1, + aux_sym_cte_token2, + ACTIONS(1334), 1, + anon_sym_LPAREN, + ACTIONS(1336), 1, + aux_sym_null_hint_token3, + ACTIONS(1338), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1340), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1342), 1, + aux_sym_TRUE_token1, + ACTIONS(1344), 1, + aux_sym_FALSE_token1, + ACTIONS(1346), 1, + aux_sym_number_token1, + ACTIONS(1348), 1, + sym__unquoted_identifier, + ACTIONS(1350), 1, + anon_sym_BQUOTE, + ACTIONS(1352), 1, + anon_sym_DQUOTE, + ACTIONS(1354), 1, + anon_sym_SQUOTE, + ACTIONS(1356), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1360), 1, + anon_sym_DASH, + ACTIONS(1362), 1, + anon_sym_STAR, + ACTIONS(1364), 1, + aux_sym_interval_expression_token1, + ACTIONS(1366), 1, + anon_sym_DOLLAR, + STATE(1091), 1, + sym_identifier, + STATE(1110), 1, + sym__quoted_identifier, + STATE(1279), 1, + sym_argument_reference, + STATE(1351), 1, + sym__expression, + STATE(1226), 2, + sym_dotted_name, + sym__identifier, + STATE(1278), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1358), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1295), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [53701] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1598), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [53804] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1332), 1, + aux_sym_cte_token2, + ACTIONS(1334), 1, + anon_sym_LPAREN, + ACTIONS(1336), 1, + aux_sym_null_hint_token3, + ACTIONS(1338), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1340), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1342), 1, + aux_sym_TRUE_token1, + ACTIONS(1344), 1, + aux_sym_FALSE_token1, + ACTIONS(1346), 1, + aux_sym_number_token1, + ACTIONS(1348), 1, + sym__unquoted_identifier, + ACTIONS(1350), 1, + anon_sym_BQUOTE, + ACTIONS(1352), 1, + anon_sym_DQUOTE, + ACTIONS(1354), 1, + anon_sym_SQUOTE, + ACTIONS(1356), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1360), 1, + anon_sym_DASH, + ACTIONS(1362), 1, + anon_sym_STAR, + ACTIONS(1364), 1, + aux_sym_interval_expression_token1, + ACTIONS(1366), 1, + anon_sym_DOLLAR, + STATE(1091), 1, + sym_identifier, + STATE(1110), 1, + sym__quoted_identifier, + STATE(1279), 1, + sym_argument_reference, + STATE(1352), 1, + sym__expression, + STATE(1226), 2, + sym_dotted_name, + sym__identifier, + STATE(1278), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1358), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1295), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [53907] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1497), 1, + aux_sym_cte_token2, + ACTIONS(1499), 1, + anon_sym_LPAREN, + ACTIONS(1501), 1, + aux_sym_null_hint_token3, + ACTIONS(1503), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1505), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1507), 1, + aux_sym_TRUE_token1, + ACTIONS(1509), 1, + aux_sym_FALSE_token1, + ACTIONS(1511), 1, + aux_sym_number_token1, + ACTIONS(1513), 1, + sym__unquoted_identifier, + ACTIONS(1515), 1, + anon_sym_BQUOTE, + ACTIONS(1517), 1, + anon_sym_DQUOTE, + ACTIONS(1519), 1, + anon_sym_SQUOTE, + ACTIONS(1521), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1525), 1, + anon_sym_DASH, + ACTIONS(1527), 1, + anon_sym_STAR, + ACTIONS(1529), 1, + aux_sym_interval_expression_token1, + ACTIONS(1531), 1, + anon_sym_DOLLAR, + STATE(318), 1, + sym_identifier, + STATE(373), 1, + sym__quoted_identifier, + STATE(518), 1, + sym_argument_reference, + STATE(540), 1, + sym__expression, + STATE(451), 2, + sym_dotted_name, + sym__identifier, + STATE(515), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1523), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(571), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [54010] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1653), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [54113] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1560), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [54216] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1717), 1, + anon_sym_LBRACK, + STATE(813), 1, + aux_sym_array_type_repeat1, + ACTIONS(75), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(77), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [54277] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1449), 1, + aux_sym_cte_token2, + ACTIONS(1451), 1, + anon_sym_LPAREN, + ACTIONS(1453), 1, + aux_sym_null_hint_token3, + ACTIONS(1455), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1457), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1459), 1, + aux_sym_TRUE_token1, + ACTIONS(1461), 1, + aux_sym_FALSE_token1, + ACTIONS(1463), 1, + aux_sym_number_token1, + ACTIONS(1465), 1, + sym__unquoted_identifier, + ACTIONS(1467), 1, + anon_sym_BQUOTE, + ACTIONS(1469), 1, + anon_sym_DQUOTE, + ACTIONS(1471), 1, + anon_sym_SQUOTE, + ACTIONS(1473), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1477), 1, + anon_sym_DASH, + ACTIONS(1479), 1, + anon_sym_STAR, + ACTIONS(1481), 1, + aux_sym_interval_expression_token1, + ACTIONS(1483), 1, + anon_sym_DOLLAR, + STATE(1088), 1, + sym__quoted_identifier, + STATE(1145), 1, + sym_identifier, + STATE(1375), 1, + sym_argument_reference, + STATE(1429), 1, + sym__expression, + STATE(1304), 2, + sym_dotted_name, + sym__identifier, + STATE(1372), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1475), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1503), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [54380] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1045), 1, + aux_sym_cte_token2, + ACTIONS(1047), 1, + anon_sym_LPAREN, + ACTIONS(1049), 1, + aux_sym_null_hint_token3, + ACTIONS(1051), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1053), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1055), 1, + aux_sym_TRUE_token1, + ACTIONS(1057), 1, + aux_sym_FALSE_token1, + ACTIONS(1059), 1, + aux_sym_number_token1, + ACTIONS(1061), 1, + sym__unquoted_identifier, + ACTIONS(1063), 1, + anon_sym_BQUOTE, + ACTIONS(1065), 1, + anon_sym_DQUOTE, + ACTIONS(1067), 1, + anon_sym_SQUOTE, + ACTIONS(1069), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1073), 1, + anon_sym_DASH, + ACTIONS(1075), 1, + anon_sym_STAR, + ACTIONS(1077), 1, + aux_sym_interval_expression_token1, + ACTIONS(1079), 1, + anon_sym_DOLLAR, + STATE(1131), 1, + sym_identifier, + STATE(1209), 1, + sym__quoted_identifier, + STATE(1402), 1, + sym_argument_reference, + STATE(1417), 1, + sym__expression, + STATE(1289), 2, + sym_dotted_name, + sym__identifier, + STATE(1401), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1071), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1457), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [54483] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1045), 1, + aux_sym_cte_token2, + ACTIONS(1047), 1, + anon_sym_LPAREN, + ACTIONS(1049), 1, + aux_sym_null_hint_token3, + ACTIONS(1051), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1053), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1055), 1, + aux_sym_TRUE_token1, + ACTIONS(1057), 1, + aux_sym_FALSE_token1, + ACTIONS(1059), 1, + aux_sym_number_token1, + ACTIONS(1061), 1, + sym__unquoted_identifier, + ACTIONS(1063), 1, + anon_sym_BQUOTE, + ACTIONS(1065), 1, + anon_sym_DQUOTE, + ACTIONS(1067), 1, + anon_sym_SQUOTE, + ACTIONS(1069), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1073), 1, + anon_sym_DASH, + ACTIONS(1075), 1, + anon_sym_STAR, + ACTIONS(1077), 1, + aux_sym_interval_expression_token1, + ACTIONS(1079), 1, + anon_sym_DOLLAR, + STATE(1131), 1, + sym_identifier, + STATE(1209), 1, + sym__quoted_identifier, + STATE(1402), 1, + sym_argument_reference, + STATE(1416), 1, + sym__expression, + STATE(1289), 2, + sym_dotted_name, + sym__identifier, + STATE(1401), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1071), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1457), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [54586] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1449), 1, + aux_sym_cte_token2, + ACTIONS(1451), 1, + anon_sym_LPAREN, + ACTIONS(1453), 1, + aux_sym_null_hint_token3, + ACTIONS(1455), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1457), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1459), 1, + aux_sym_TRUE_token1, + ACTIONS(1461), 1, + aux_sym_FALSE_token1, + ACTIONS(1463), 1, + aux_sym_number_token1, + ACTIONS(1465), 1, + sym__unquoted_identifier, + ACTIONS(1467), 1, + anon_sym_BQUOTE, + ACTIONS(1469), 1, + anon_sym_DQUOTE, + ACTIONS(1471), 1, + anon_sym_SQUOTE, + ACTIONS(1473), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1477), 1, + anon_sym_DASH, + ACTIONS(1479), 1, + anon_sym_STAR, + ACTIONS(1481), 1, + aux_sym_interval_expression_token1, + ACTIONS(1483), 1, + anon_sym_DOLLAR, + STATE(1088), 1, + sym__quoted_identifier, + STATE(1145), 1, + sym_identifier, + STATE(1375), 1, + sym_argument_reference, + STATE(1428), 1, + sym__expression, + STATE(1304), 2, + sym_dotted_name, + sym__identifier, + STATE(1372), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1475), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1503), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [54689] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1636), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [54792] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1719), 1, + anon_sym_LBRACK, + STATE(813), 1, + aux_sym_array_type_repeat1, + ACTIONS(81), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(83), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [54853] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1449), 1, + aux_sym_cte_token2, + ACTIONS(1451), 1, + anon_sym_LPAREN, + ACTIONS(1453), 1, + aux_sym_null_hint_token3, + ACTIONS(1455), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1457), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1459), 1, + aux_sym_TRUE_token1, + ACTIONS(1461), 1, + aux_sym_FALSE_token1, + ACTIONS(1463), 1, + aux_sym_number_token1, + ACTIONS(1465), 1, + sym__unquoted_identifier, + ACTIONS(1467), 1, + anon_sym_BQUOTE, + ACTIONS(1469), 1, + anon_sym_DQUOTE, + ACTIONS(1471), 1, + anon_sym_SQUOTE, + ACTIONS(1473), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1477), 1, + anon_sym_DASH, + ACTIONS(1479), 1, + anon_sym_STAR, + ACTIONS(1481), 1, + aux_sym_interval_expression_token1, + ACTIONS(1483), 1, + anon_sym_DOLLAR, + STATE(1088), 1, + sym__quoted_identifier, + STATE(1145), 1, + sym_identifier, + STATE(1375), 1, + sym_argument_reference, + STATE(1441), 1, + sym__expression, + STATE(1304), 2, + sym_dotted_name, + sym__identifier, + STATE(1372), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1475), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1503), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [54956] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1722), 1, + aux_sym_cte_token2, + ACTIONS(1724), 1, + anon_sym_LPAREN, + ACTIONS(1726), 1, + aux_sym_null_hint_token3, + ACTIONS(1728), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1730), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1732), 1, + aux_sym_TRUE_token1, + ACTIONS(1734), 1, + aux_sym_FALSE_token1, + ACTIONS(1736), 1, + aux_sym_number_token1, + ACTIONS(1738), 1, + sym__unquoted_identifier, + ACTIONS(1740), 1, + anon_sym_BQUOTE, + ACTIONS(1742), 1, + anon_sym_DQUOTE, + ACTIONS(1744), 1, + anon_sym_SQUOTE, + ACTIONS(1746), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1750), 1, + anon_sym_DASH, + ACTIONS(1752), 1, + anon_sym_STAR, + ACTIONS(1754), 1, + aux_sym_interval_expression_token1, + ACTIONS(1756), 1, + anon_sym_DOLLAR, + STATE(629), 1, + sym__quoted_identifier, + STATE(990), 1, + sym_identifier, + STATE(1135), 1, + sym_argument_reference, + STATE(1198), 1, + sym__expression, + STATE(1117), 2, + sym_dotted_name, + sym__identifier, + STATE(1139), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1748), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1192), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [55059] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1651), 1, + aux_sym_cte_token2, + ACTIONS(1653), 1, + anon_sym_LPAREN, + ACTIONS(1655), 1, + aux_sym_null_hint_token3, + ACTIONS(1657), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1659), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1661), 1, + aux_sym_TRUE_token1, + ACTIONS(1663), 1, + aux_sym_FALSE_token1, + ACTIONS(1665), 1, + aux_sym_number_token1, + ACTIONS(1667), 1, + sym__unquoted_identifier, + ACTIONS(1669), 1, + anon_sym_BQUOTE, + ACTIONS(1671), 1, + anon_sym_DQUOTE, + ACTIONS(1673), 1, + anon_sym_SQUOTE, + ACTIONS(1675), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1679), 1, + anon_sym_DASH, + ACTIONS(1681), 1, + anon_sym_STAR, + ACTIONS(1683), 1, + aux_sym_interval_expression_token1, + ACTIONS(1685), 1, + anon_sym_DOLLAR, + STATE(167), 1, + sym_identifier, + STATE(225), 1, + sym__quoted_identifier, + STATE(321), 1, + sym_argument_reference, + STATE(367), 1, + sym__expression, + STATE(305), 2, + sym_dotted_name, + sym__identifier, + STATE(328), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1677), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(344), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [55162] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1389), 1, + aux_sym_cte_token2, + ACTIONS(1391), 1, + anon_sym_LPAREN, + ACTIONS(1395), 1, + aux_sym_null_hint_token3, + ACTIONS(1397), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1399), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1401), 1, + aux_sym_TRUE_token1, + ACTIONS(1403), 1, + aux_sym_FALSE_token1, + ACTIONS(1405), 1, + aux_sym_number_token1, + ACTIONS(1407), 1, + sym__unquoted_identifier, + ACTIONS(1409), 1, + anon_sym_BQUOTE, + ACTIONS(1411), 1, + anon_sym_DQUOTE, + ACTIONS(1413), 1, + anon_sym_SQUOTE, + ACTIONS(1415), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1419), 1, + anon_sym_DASH, + ACTIONS(1421), 1, + anon_sym_STAR, + ACTIONS(1423), 1, + aux_sym_interval_expression_token1, + ACTIONS(1425), 1, + anon_sym_DOLLAR, + STATE(24), 1, + sym__quoted_identifier, + STATE(37), 1, + sym_identifier, + STATE(144), 1, + sym_argument_reference, + STATE(669), 1, + sym__expression, + STATE(129), 2, + sym_dotted_name, + sym__identifier, + STATE(143), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1417), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(255), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [55265] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1722), 1, + aux_sym_cte_token2, + ACTIONS(1724), 1, + anon_sym_LPAREN, + ACTIONS(1726), 1, + aux_sym_null_hint_token3, + ACTIONS(1728), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1730), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1732), 1, + aux_sym_TRUE_token1, + ACTIONS(1734), 1, + aux_sym_FALSE_token1, + ACTIONS(1736), 1, + aux_sym_number_token1, + ACTIONS(1738), 1, + sym__unquoted_identifier, + ACTIONS(1740), 1, + anon_sym_BQUOTE, + ACTIONS(1742), 1, + anon_sym_DQUOTE, + ACTIONS(1744), 1, + anon_sym_SQUOTE, + ACTIONS(1746), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1750), 1, + anon_sym_DASH, + ACTIONS(1752), 1, + anon_sym_STAR, + ACTIONS(1754), 1, + aux_sym_interval_expression_token1, + ACTIONS(1756), 1, + anon_sym_DOLLAR, + STATE(629), 1, + sym__quoted_identifier, + STATE(990), 1, + sym_identifier, + STATE(1135), 1, + sym_argument_reference, + STATE(1204), 1, + sym__expression, + STATE(1117), 2, + sym_dotted_name, + sym__identifier, + STATE(1139), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1748), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1192), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [55368] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1722), 1, + aux_sym_cte_token2, + ACTIONS(1724), 1, + anon_sym_LPAREN, + ACTIONS(1726), 1, + aux_sym_null_hint_token3, + ACTIONS(1728), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1730), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1732), 1, + aux_sym_TRUE_token1, + ACTIONS(1734), 1, + aux_sym_FALSE_token1, + ACTIONS(1736), 1, + aux_sym_number_token1, + ACTIONS(1738), 1, + sym__unquoted_identifier, + ACTIONS(1740), 1, + anon_sym_BQUOTE, + ACTIONS(1742), 1, + anon_sym_DQUOTE, + ACTIONS(1744), 1, + anon_sym_SQUOTE, + ACTIONS(1746), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1750), 1, + anon_sym_DASH, + ACTIONS(1752), 1, + anon_sym_STAR, + ACTIONS(1754), 1, + aux_sym_interval_expression_token1, + ACTIONS(1756), 1, + anon_sym_DOLLAR, + STATE(629), 1, + sym__quoted_identifier, + STATE(990), 1, + sym_identifier, + STATE(1135), 1, + sym_argument_reference, + STATE(1196), 1, + sym__expression, + STATE(1117), 2, + sym_dotted_name, + sym__identifier, + STATE(1139), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1748), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1192), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [55471] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(39), 1, + aux_sym_cte_token2, + ACTIONS(41), 1, + anon_sym_LPAREN, + ACTIONS(43), 1, + aux_sym_null_hint_token3, + ACTIONS(45), 1, + aux_sym_select_subexpression_token1, + ACTIONS(47), 1, + aux_sym_conditional_expression_token1, + ACTIONS(49), 1, + aux_sym_TRUE_token1, + ACTIONS(51), 1, + aux_sym_FALSE_token1, + ACTIONS(53), 1, + aux_sym_number_token1, + ACTIONS(55), 1, + sym__unquoted_identifier, + ACTIONS(57), 1, + anon_sym_BQUOTE, + ACTIONS(59), 1, + anon_sym_DQUOTE, + ACTIONS(61), 1, + anon_sym_SQUOTE, + ACTIONS(63), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(67), 1, + anon_sym_DASH, + ACTIONS(69), 1, + anon_sym_STAR, + ACTIONS(71), 1, + aux_sym_interval_expression_token1, + ACTIONS(73), 1, + anon_sym_DOLLAR, + STATE(14), 1, + sym_identifier, + STATE(33), 1, + sym__quoted_identifier, + STATE(100), 1, + sym_argument_reference, + STATE(206), 1, + sym__expression, + STATE(78), 2, + sym_dotted_name, + sym__identifier, + STATE(99), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(65), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(202), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [55574] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(39), 1, + aux_sym_cte_token2, + ACTIONS(41), 1, + anon_sym_LPAREN, + ACTIONS(43), 1, + aux_sym_null_hint_token3, + ACTIONS(45), 1, + aux_sym_select_subexpression_token1, + ACTIONS(47), 1, + aux_sym_conditional_expression_token1, + ACTIONS(49), 1, + aux_sym_TRUE_token1, + ACTIONS(51), 1, + aux_sym_FALSE_token1, + ACTIONS(53), 1, + aux_sym_number_token1, + ACTIONS(55), 1, + sym__unquoted_identifier, + ACTIONS(57), 1, + anon_sym_BQUOTE, + ACTIONS(59), 1, + anon_sym_DQUOTE, + ACTIONS(61), 1, + anon_sym_SQUOTE, + ACTIONS(63), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(67), 1, + anon_sym_DASH, + ACTIONS(69), 1, + anon_sym_STAR, + ACTIONS(71), 1, + aux_sym_interval_expression_token1, + ACTIONS(73), 1, + anon_sym_DOLLAR, + STATE(14), 1, + sym_identifier, + STATE(33), 1, + sym__quoted_identifier, + STATE(100), 1, + sym_argument_reference, + STATE(204), 1, + sym__expression, + STATE(78), 2, + sym_dotted_name, + sym__identifier, + STATE(99), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(65), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(202), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [55677] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1722), 1, + aux_sym_cte_token2, + ACTIONS(1724), 1, + anon_sym_LPAREN, + ACTIONS(1726), 1, + aux_sym_null_hint_token3, + ACTIONS(1728), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1730), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1732), 1, + aux_sym_TRUE_token1, + ACTIONS(1734), 1, + aux_sym_FALSE_token1, + ACTIONS(1736), 1, + aux_sym_number_token1, + ACTIONS(1738), 1, + sym__unquoted_identifier, + ACTIONS(1740), 1, + anon_sym_BQUOTE, + ACTIONS(1742), 1, + anon_sym_DQUOTE, + ACTIONS(1744), 1, + anon_sym_SQUOTE, + ACTIONS(1746), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1750), 1, + anon_sym_DASH, + ACTIONS(1752), 1, + anon_sym_STAR, + ACTIONS(1754), 1, + aux_sym_interval_expression_token1, + ACTIONS(1756), 1, + anon_sym_DOLLAR, + STATE(629), 1, + sym__quoted_identifier, + STATE(990), 1, + sym_identifier, + STATE(1135), 1, + sym_argument_reference, + STATE(1162), 1, + sym__expression, + STATE(1117), 2, + sym_dotted_name, + sym__identifier, + STATE(1139), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1748), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1192), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [55780] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1722), 1, + aux_sym_cte_token2, + ACTIONS(1724), 1, + anon_sym_LPAREN, + ACTIONS(1726), 1, + aux_sym_null_hint_token3, + ACTIONS(1728), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1730), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1732), 1, + aux_sym_TRUE_token1, + ACTIONS(1734), 1, + aux_sym_FALSE_token1, + ACTIONS(1736), 1, + aux_sym_number_token1, + ACTIONS(1738), 1, + sym__unquoted_identifier, + ACTIONS(1740), 1, + anon_sym_BQUOTE, + ACTIONS(1742), 1, + anon_sym_DQUOTE, + ACTIONS(1744), 1, + anon_sym_SQUOTE, + ACTIONS(1746), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1750), 1, + anon_sym_DASH, + ACTIONS(1752), 1, + anon_sym_STAR, + ACTIONS(1754), 1, + aux_sym_interval_expression_token1, + ACTIONS(1756), 1, + anon_sym_DOLLAR, + STATE(629), 1, + sym__quoted_identifier, + STATE(990), 1, + sym_identifier, + STATE(1135), 1, + sym_argument_reference, + STATE(1178), 1, + sym__expression, + STATE(1117), 2, + sym_dotted_name, + sym__identifier, + STATE(1139), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1748), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1192), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [55883] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1468), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [55986] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(573), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [56089] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(574), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [56192] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1722), 1, + aux_sym_cte_token2, + ACTIONS(1724), 1, + anon_sym_LPAREN, + ACTIONS(1726), 1, + aux_sym_null_hint_token3, + ACTIONS(1728), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1730), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1732), 1, + aux_sym_TRUE_token1, + ACTIONS(1734), 1, + aux_sym_FALSE_token1, + ACTIONS(1736), 1, + aux_sym_number_token1, + ACTIONS(1738), 1, + sym__unquoted_identifier, + ACTIONS(1740), 1, + anon_sym_BQUOTE, + ACTIONS(1742), 1, + anon_sym_DQUOTE, + ACTIONS(1744), 1, + anon_sym_SQUOTE, + ACTIONS(1746), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1750), 1, + anon_sym_DASH, + ACTIONS(1752), 1, + anon_sym_STAR, + ACTIONS(1754), 1, + aux_sym_interval_expression_token1, + ACTIONS(1756), 1, + anon_sym_DOLLAR, + STATE(629), 1, + sym__quoted_identifier, + STATE(990), 1, + sym_identifier, + STATE(1135), 1, + sym_argument_reference, + STATE(1174), 1, + sym__expression, + STATE(1117), 2, + sym_dotted_name, + sym__identifier, + STATE(1139), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1748), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1192), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [56295] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1567), 1, + aux_sym_cte_token2, + ACTIONS(1569), 1, + anon_sym_LPAREN, + ACTIONS(1571), 1, + aux_sym_null_hint_token3, + ACTIONS(1573), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1575), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1577), 1, + aux_sym_TRUE_token1, + ACTIONS(1579), 1, + aux_sym_FALSE_token1, + ACTIONS(1581), 1, + aux_sym_number_token1, + ACTIONS(1583), 1, + sym__unquoted_identifier, + ACTIONS(1585), 1, + anon_sym_BQUOTE, + ACTIONS(1587), 1, + anon_sym_DQUOTE, + ACTIONS(1589), 1, + anon_sym_SQUOTE, + ACTIONS(1591), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1595), 1, + anon_sym_DASH, + ACTIONS(1597), 1, + anon_sym_STAR, + ACTIONS(1599), 1, + aux_sym_interval_expression_token1, + ACTIONS(1601), 1, + anon_sym_DOLLAR, + STATE(1105), 1, + sym__quoted_identifier, + STATE(1186), 1, + sym_identifier, + STATE(1415), 1, + sym_argument_reference, + STATE(1528), 1, + sym__expression, + STATE(1399), 2, + sym_dotted_name, + sym__identifier, + STATE(1466), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1593), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1511), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [56398] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1165), 1, + aux_sym_cte_token2, + ACTIONS(1167), 1, + anon_sym_LPAREN, + ACTIONS(1169), 1, + aux_sym_null_hint_token3, + ACTIONS(1171), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1173), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1175), 1, + aux_sym_TRUE_token1, + ACTIONS(1177), 1, + aux_sym_FALSE_token1, + ACTIONS(1179), 1, + aux_sym_number_token1, + ACTIONS(1181), 1, + sym__unquoted_identifier, + ACTIONS(1183), 1, + anon_sym_BQUOTE, + ACTIONS(1185), 1, + anon_sym_DQUOTE, + ACTIONS(1187), 1, + anon_sym_SQUOTE, + ACTIONS(1189), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1193), 1, + anon_sym_DASH, + ACTIONS(1195), 1, + anon_sym_STAR, + ACTIONS(1197), 1, + aux_sym_interval_expression_token1, + ACTIONS(1199), 1, + anon_sym_DOLLAR, + STATE(514), 1, + sym_identifier, + STATE(549), 1, + sym__quoted_identifier, + STATE(999), 1, + sym_argument_reference, + STATE(1052), 1, + sym__expression, + STATE(979), 2, + sym_dotted_name, + sym__identifier, + STATE(996), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1191), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1065), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [56501] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1722), 1, + aux_sym_cte_token2, + ACTIONS(1724), 1, + anon_sym_LPAREN, + ACTIONS(1726), 1, + aux_sym_null_hint_token3, + ACTIONS(1728), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1730), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1732), 1, + aux_sym_TRUE_token1, + ACTIONS(1734), 1, + aux_sym_FALSE_token1, + ACTIONS(1736), 1, + aux_sym_number_token1, + ACTIONS(1738), 1, + sym__unquoted_identifier, + ACTIONS(1740), 1, + anon_sym_BQUOTE, + ACTIONS(1742), 1, + anon_sym_DQUOTE, + ACTIONS(1744), 1, + anon_sym_SQUOTE, + ACTIONS(1746), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1750), 1, + anon_sym_DASH, + ACTIONS(1752), 1, + anon_sym_STAR, + ACTIONS(1754), 1, + aux_sym_interval_expression_token1, + ACTIONS(1756), 1, + anon_sym_DOLLAR, + STATE(629), 1, + sym__quoted_identifier, + STATE(990), 1, + sym_identifier, + STATE(1135), 1, + sym_argument_reference, + STATE(1172), 1, + sym__expression, + STATE(1117), 2, + sym_dotted_name, + sym__identifier, + STATE(1139), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1748), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1192), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [56604] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1571), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [56707] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1389), 1, + aux_sym_cte_token2, + ACTIONS(1391), 1, + anon_sym_LPAREN, + ACTIONS(1395), 1, + aux_sym_null_hint_token3, + ACTIONS(1397), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1399), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1401), 1, + aux_sym_TRUE_token1, + ACTIONS(1403), 1, + aux_sym_FALSE_token1, + ACTIONS(1405), 1, + aux_sym_number_token1, + ACTIONS(1407), 1, + sym__unquoted_identifier, + ACTIONS(1409), 1, + anon_sym_BQUOTE, + ACTIONS(1411), 1, + anon_sym_DQUOTE, + ACTIONS(1413), 1, + anon_sym_SQUOTE, + ACTIONS(1415), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1419), 1, + anon_sym_DASH, + ACTIONS(1421), 1, + anon_sym_STAR, + ACTIONS(1423), 1, + aux_sym_interval_expression_token1, + ACTIONS(1425), 1, + anon_sym_DOLLAR, + STATE(24), 1, + sym__quoted_identifier, + STATE(37), 1, + sym_identifier, + STATE(144), 1, + sym_argument_reference, + STATE(230), 1, + sym__expression, + STATE(129), 2, + sym_dotted_name, + sym__identifier, + STATE(143), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1417), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(255), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [56810] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1389), 1, + aux_sym_cte_token2, + ACTIONS(1391), 1, + anon_sym_LPAREN, + ACTIONS(1395), 1, + aux_sym_null_hint_token3, + ACTIONS(1397), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1399), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1401), 1, + aux_sym_TRUE_token1, + ACTIONS(1403), 1, + aux_sym_FALSE_token1, + ACTIONS(1405), 1, + aux_sym_number_token1, + ACTIONS(1407), 1, + sym__unquoted_identifier, + ACTIONS(1409), 1, + anon_sym_BQUOTE, + ACTIONS(1411), 1, + anon_sym_DQUOTE, + ACTIONS(1413), 1, + anon_sym_SQUOTE, + ACTIONS(1415), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1419), 1, + anon_sym_DASH, + ACTIONS(1421), 1, + anon_sym_STAR, + ACTIONS(1423), 1, + aux_sym_interval_expression_token1, + ACTIONS(1425), 1, + anon_sym_DOLLAR, + STATE(24), 1, + sym__quoted_identifier, + STATE(37), 1, + sym_identifier, + STATE(144), 1, + sym_argument_reference, + STATE(241), 1, + sym__expression, + STATE(129), 2, + sym_dotted_name, + sym__identifier, + STATE(143), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1417), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(255), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [56913] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1389), 1, + aux_sym_cte_token2, + ACTIONS(1391), 1, + anon_sym_LPAREN, + ACTIONS(1395), 1, + aux_sym_null_hint_token3, + ACTIONS(1397), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1399), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1401), 1, + aux_sym_TRUE_token1, + ACTIONS(1403), 1, + aux_sym_FALSE_token1, + ACTIONS(1405), 1, + aux_sym_number_token1, + ACTIONS(1407), 1, + sym__unquoted_identifier, + ACTIONS(1409), 1, + anon_sym_BQUOTE, + ACTIONS(1411), 1, + anon_sym_DQUOTE, + ACTIONS(1413), 1, + anon_sym_SQUOTE, + ACTIONS(1415), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1419), 1, + anon_sym_DASH, + ACTIONS(1421), 1, + anon_sym_STAR, + ACTIONS(1423), 1, + aux_sym_interval_expression_token1, + ACTIONS(1425), 1, + anon_sym_DOLLAR, + STATE(24), 1, + sym__quoted_identifier, + STATE(37), 1, + sym_identifier, + STATE(144), 1, + sym_argument_reference, + STATE(216), 1, + sym__expression, + STATE(129), 2, + sym_dotted_name, + sym__identifier, + STATE(143), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1417), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(255), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [57016] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1389), 1, + aux_sym_cte_token2, + ACTIONS(1391), 1, + anon_sym_LPAREN, + ACTIONS(1395), 1, + aux_sym_null_hint_token3, + ACTIONS(1397), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1399), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1401), 1, + aux_sym_TRUE_token1, + ACTIONS(1403), 1, + aux_sym_FALSE_token1, + ACTIONS(1405), 1, + aux_sym_number_token1, + ACTIONS(1407), 1, + sym__unquoted_identifier, + ACTIONS(1409), 1, + anon_sym_BQUOTE, + ACTIONS(1411), 1, + anon_sym_DQUOTE, + ACTIONS(1413), 1, + anon_sym_SQUOTE, + ACTIONS(1415), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1419), 1, + anon_sym_DASH, + ACTIONS(1421), 1, + anon_sym_STAR, + ACTIONS(1423), 1, + aux_sym_interval_expression_token1, + ACTIONS(1425), 1, + anon_sym_DOLLAR, + STATE(24), 1, + sym__quoted_identifier, + STATE(37), 1, + sym_identifier, + STATE(144), 1, + sym_argument_reference, + STATE(244), 1, + sym__expression, + STATE(129), 2, + sym_dotted_name, + sym__identifier, + STATE(143), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1417), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(255), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [57119] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1389), 1, + aux_sym_cte_token2, + ACTIONS(1391), 1, + anon_sym_LPAREN, + ACTIONS(1395), 1, + aux_sym_null_hint_token3, + ACTIONS(1397), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1399), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1401), 1, + aux_sym_TRUE_token1, + ACTIONS(1403), 1, + aux_sym_FALSE_token1, + ACTIONS(1405), 1, + aux_sym_number_token1, + ACTIONS(1407), 1, + sym__unquoted_identifier, + ACTIONS(1409), 1, + anon_sym_BQUOTE, + ACTIONS(1411), 1, + anon_sym_DQUOTE, + ACTIONS(1413), 1, + anon_sym_SQUOTE, + ACTIONS(1415), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1419), 1, + anon_sym_DASH, + ACTIONS(1421), 1, + anon_sym_STAR, + ACTIONS(1423), 1, + aux_sym_interval_expression_token1, + ACTIONS(1425), 1, + anon_sym_DOLLAR, + STATE(24), 1, + sym__quoted_identifier, + STATE(37), 1, + sym_identifier, + STATE(144), 1, + sym_argument_reference, + STATE(246), 1, + sym__expression, + STATE(129), 2, + sym_dotted_name, + sym__identifier, + STATE(143), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1417), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(255), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [57222] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1389), 1, + aux_sym_cte_token2, + ACTIONS(1391), 1, + anon_sym_LPAREN, + ACTIONS(1395), 1, + aux_sym_null_hint_token3, + ACTIONS(1397), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1399), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1401), 1, + aux_sym_TRUE_token1, + ACTIONS(1403), 1, + aux_sym_FALSE_token1, + ACTIONS(1405), 1, + aux_sym_number_token1, + ACTIONS(1407), 1, + sym__unquoted_identifier, + ACTIONS(1409), 1, + anon_sym_BQUOTE, + ACTIONS(1411), 1, + anon_sym_DQUOTE, + ACTIONS(1413), 1, + anon_sym_SQUOTE, + ACTIONS(1415), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1419), 1, + anon_sym_DASH, + ACTIONS(1421), 1, + anon_sym_STAR, + ACTIONS(1423), 1, + aux_sym_interval_expression_token1, + ACTIONS(1425), 1, + anon_sym_DOLLAR, + STATE(24), 1, + sym__quoted_identifier, + STATE(37), 1, + sym_identifier, + STATE(144), 1, + sym_argument_reference, + STATE(247), 1, + sym__expression, + STATE(129), 2, + sym_dotted_name, + sym__identifier, + STATE(143), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1417), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(255), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [57325] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1389), 1, + aux_sym_cte_token2, + ACTIONS(1391), 1, + anon_sym_LPAREN, + ACTIONS(1395), 1, + aux_sym_null_hint_token3, + ACTIONS(1397), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1399), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1401), 1, + aux_sym_TRUE_token1, + ACTIONS(1403), 1, + aux_sym_FALSE_token1, + ACTIONS(1405), 1, + aux_sym_number_token1, + ACTIONS(1407), 1, + sym__unquoted_identifier, + ACTIONS(1409), 1, + anon_sym_BQUOTE, + ACTIONS(1411), 1, + anon_sym_DQUOTE, + ACTIONS(1413), 1, + anon_sym_SQUOTE, + ACTIONS(1415), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1419), 1, + anon_sym_DASH, + ACTIONS(1421), 1, + anon_sym_STAR, + ACTIONS(1423), 1, + aux_sym_interval_expression_token1, + ACTIONS(1425), 1, + anon_sym_DOLLAR, + STATE(24), 1, + sym__quoted_identifier, + STATE(37), 1, + sym_identifier, + STATE(144), 1, + sym_argument_reference, + STATE(248), 1, + sym__expression, + STATE(129), 2, + sym_dotted_name, + sym__identifier, + STATE(143), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1417), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(255), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [57428] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1722), 1, + aux_sym_cte_token2, + ACTIONS(1724), 1, + anon_sym_LPAREN, + ACTIONS(1726), 1, + aux_sym_null_hint_token3, + ACTIONS(1728), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1730), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1732), 1, + aux_sym_TRUE_token1, + ACTIONS(1734), 1, + aux_sym_FALSE_token1, + ACTIONS(1736), 1, + aux_sym_number_token1, + ACTIONS(1738), 1, + sym__unquoted_identifier, + ACTIONS(1740), 1, + anon_sym_BQUOTE, + ACTIONS(1742), 1, + anon_sym_DQUOTE, + ACTIONS(1744), 1, + anon_sym_SQUOTE, + ACTIONS(1746), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1750), 1, + anon_sym_DASH, + ACTIONS(1752), 1, + anon_sym_STAR, + ACTIONS(1754), 1, + aux_sym_interval_expression_token1, + ACTIONS(1756), 1, + anon_sym_DOLLAR, + STATE(629), 1, + sym__quoted_identifier, + STATE(990), 1, + sym_identifier, + STATE(1135), 1, + sym_argument_reference, + STATE(1182), 1, + sym__expression, + STATE(1117), 2, + sym_dotted_name, + sym__identifier, + STATE(1139), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1748), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1192), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [57531] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1722), 1, + aux_sym_cte_token2, + ACTIONS(1724), 1, + anon_sym_LPAREN, + ACTIONS(1726), 1, + aux_sym_null_hint_token3, + ACTIONS(1728), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1730), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1732), 1, + aux_sym_TRUE_token1, + ACTIONS(1734), 1, + aux_sym_FALSE_token1, + ACTIONS(1736), 1, + aux_sym_number_token1, + ACTIONS(1738), 1, + sym__unquoted_identifier, + ACTIONS(1740), 1, + anon_sym_BQUOTE, + ACTIONS(1742), 1, + anon_sym_DQUOTE, + ACTIONS(1744), 1, + anon_sym_SQUOTE, + ACTIONS(1746), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1750), 1, + anon_sym_DASH, + ACTIONS(1752), 1, + anon_sym_STAR, + ACTIONS(1754), 1, + aux_sym_interval_expression_token1, + ACTIONS(1756), 1, + anon_sym_DOLLAR, + STATE(629), 1, + sym__quoted_identifier, + STATE(990), 1, + sym_identifier, + STATE(1135), 1, + sym_argument_reference, + STATE(1181), 1, + sym__expression, + STATE(1117), 2, + sym_dotted_name, + sym__identifier, + STATE(1139), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1748), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1192), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [57634] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1449), 1, + aux_sym_cte_token2, + ACTIONS(1451), 1, + anon_sym_LPAREN, + ACTIONS(1453), 1, + aux_sym_null_hint_token3, + ACTIONS(1455), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1457), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1459), 1, + aux_sym_TRUE_token1, + ACTIONS(1461), 1, + aux_sym_FALSE_token1, + ACTIONS(1463), 1, + aux_sym_number_token1, + ACTIONS(1465), 1, + sym__unquoted_identifier, + ACTIONS(1467), 1, + anon_sym_BQUOTE, + ACTIONS(1469), 1, + anon_sym_DQUOTE, + ACTIONS(1471), 1, + anon_sym_SQUOTE, + ACTIONS(1473), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1477), 1, + anon_sym_DASH, + ACTIONS(1479), 1, + anon_sym_STAR, + ACTIONS(1481), 1, + aux_sym_interval_expression_token1, + ACTIONS(1483), 1, + anon_sym_DOLLAR, + STATE(1088), 1, + sym__quoted_identifier, + STATE(1145), 1, + sym_identifier, + STATE(1375), 1, + sym_argument_reference, + STATE(1440), 1, + sym__expression, + STATE(1304), 2, + sym_dotted_name, + sym__identifier, + STATE(1372), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1475), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1503), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [57737] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1648), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [57840] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1567), 1, + aux_sym_cte_token2, + ACTIONS(1569), 1, + anon_sym_LPAREN, + ACTIONS(1571), 1, + aux_sym_null_hint_token3, + ACTIONS(1573), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1575), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1577), 1, + aux_sym_TRUE_token1, + ACTIONS(1579), 1, + aux_sym_FALSE_token1, + ACTIONS(1581), 1, + aux_sym_number_token1, + ACTIONS(1583), 1, + sym__unquoted_identifier, + ACTIONS(1585), 1, + anon_sym_BQUOTE, + ACTIONS(1587), 1, + anon_sym_DQUOTE, + ACTIONS(1589), 1, + anon_sym_SQUOTE, + ACTIONS(1591), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1595), 1, + anon_sym_DASH, + ACTIONS(1597), 1, + anon_sym_STAR, + ACTIONS(1599), 1, + aux_sym_interval_expression_token1, + ACTIONS(1601), 1, + anon_sym_DOLLAR, + STATE(1105), 1, + sym__quoted_identifier, + STATE(1186), 1, + sym_identifier, + STATE(1415), 1, + sym_argument_reference, + STATE(1547), 1, + sym__expression, + STATE(1399), 2, + sym_dotted_name, + sym__identifier, + STATE(1466), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1593), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1511), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [57943] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1497), 1, + aux_sym_cte_token2, + ACTIONS(1499), 1, + anon_sym_LPAREN, + ACTIONS(1501), 1, + aux_sym_null_hint_token3, + ACTIONS(1503), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1505), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1507), 1, + aux_sym_TRUE_token1, + ACTIONS(1509), 1, + aux_sym_FALSE_token1, + ACTIONS(1511), 1, + aux_sym_number_token1, + ACTIONS(1513), 1, + sym__unquoted_identifier, + ACTIONS(1515), 1, + anon_sym_BQUOTE, + ACTIONS(1517), 1, + anon_sym_DQUOTE, + ACTIONS(1519), 1, + anon_sym_SQUOTE, + ACTIONS(1521), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1525), 1, + anon_sym_DASH, + ACTIONS(1527), 1, + anon_sym_STAR, + ACTIONS(1529), 1, + aux_sym_interval_expression_token1, + ACTIONS(1531), 1, + anon_sym_DOLLAR, + STATE(318), 1, + sym_identifier, + STATE(373), 1, + sym__quoted_identifier, + STATE(518), 1, + sym_argument_reference, + STATE(572), 1, + sym__expression, + STATE(451), 2, + sym_dotted_name, + sym__identifier, + STATE(515), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1523), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(571), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [58046] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1165), 1, + aux_sym_cte_token2, + ACTIONS(1167), 1, + anon_sym_LPAREN, + ACTIONS(1169), 1, + aux_sym_null_hint_token3, + ACTIONS(1171), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1173), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1175), 1, + aux_sym_TRUE_token1, + ACTIONS(1177), 1, + aux_sym_FALSE_token1, + ACTIONS(1179), 1, + aux_sym_number_token1, + ACTIONS(1181), 1, + sym__unquoted_identifier, + ACTIONS(1183), 1, + anon_sym_BQUOTE, + ACTIONS(1185), 1, + anon_sym_DQUOTE, + ACTIONS(1187), 1, + anon_sym_SQUOTE, + ACTIONS(1189), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1193), 1, + anon_sym_DASH, + ACTIONS(1195), 1, + anon_sym_STAR, + ACTIONS(1197), 1, + aux_sym_interval_expression_token1, + ACTIONS(1199), 1, + anon_sym_DOLLAR, + STATE(514), 1, + sym_identifier, + STATE(549), 1, + sym__quoted_identifier, + STATE(999), 1, + sym_argument_reference, + STATE(1049), 1, + sym__expression, + STATE(979), 2, + sym_dotted_name, + sym__identifier, + STATE(996), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1191), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1065), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [58149] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1165), 1, + aux_sym_cte_token2, + ACTIONS(1167), 1, + anon_sym_LPAREN, + ACTIONS(1169), 1, + aux_sym_null_hint_token3, + ACTIONS(1171), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1173), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1175), 1, + aux_sym_TRUE_token1, + ACTIONS(1177), 1, + aux_sym_FALSE_token1, + ACTIONS(1179), 1, + aux_sym_number_token1, + ACTIONS(1181), 1, + sym__unquoted_identifier, + ACTIONS(1183), 1, + anon_sym_BQUOTE, + ACTIONS(1185), 1, + anon_sym_DQUOTE, + ACTIONS(1187), 1, + anon_sym_SQUOTE, + ACTIONS(1189), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1193), 1, + anon_sym_DASH, + ACTIONS(1195), 1, + anon_sym_STAR, + ACTIONS(1197), 1, + aux_sym_interval_expression_token1, + ACTIONS(1199), 1, + anon_sym_DOLLAR, + STATE(514), 1, + sym_identifier, + STATE(549), 1, + sym__quoted_identifier, + STATE(999), 1, + sym_argument_reference, + STATE(1048), 1, + sym__expression, + STATE(979), 2, + sym_dotted_name, + sym__identifier, + STATE(996), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1191), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1065), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [58252] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1497), 1, + aux_sym_cte_token2, + ACTIONS(1499), 1, + anon_sym_LPAREN, + ACTIONS(1501), 1, + aux_sym_null_hint_token3, + ACTIONS(1503), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1505), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1507), 1, + aux_sym_TRUE_token1, + ACTIONS(1509), 1, + aux_sym_FALSE_token1, + ACTIONS(1511), 1, + aux_sym_number_token1, + ACTIONS(1513), 1, + sym__unquoted_identifier, + ACTIONS(1515), 1, + anon_sym_BQUOTE, + ACTIONS(1517), 1, + anon_sym_DQUOTE, + ACTIONS(1519), 1, + anon_sym_SQUOTE, + ACTIONS(1521), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1525), 1, + anon_sym_DASH, + ACTIONS(1527), 1, + anon_sym_STAR, + ACTIONS(1529), 1, + aux_sym_interval_expression_token1, + ACTIONS(1531), 1, + anon_sym_DOLLAR, + STATE(318), 1, + sym_identifier, + STATE(373), 1, + sym__quoted_identifier, + STATE(518), 1, + sym_argument_reference, + STATE(558), 1, + sym__expression, + STATE(451), 2, + sym_dotted_name, + sym__identifier, + STATE(515), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1523), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(571), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [58355] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(316), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(314), 39, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [58412] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1389), 1, + aux_sym_cte_token2, + ACTIONS(1391), 1, + anon_sym_LPAREN, + ACTIONS(1395), 1, + aux_sym_null_hint_token3, + ACTIONS(1397), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1399), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1401), 1, + aux_sym_TRUE_token1, + ACTIONS(1403), 1, + aux_sym_FALSE_token1, + ACTIONS(1405), 1, + aux_sym_number_token1, + ACTIONS(1407), 1, + sym__unquoted_identifier, + ACTIONS(1409), 1, + anon_sym_BQUOTE, + ACTIONS(1411), 1, + anon_sym_DQUOTE, + ACTIONS(1413), 1, + anon_sym_SQUOTE, + ACTIONS(1415), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1419), 1, + anon_sym_DASH, + ACTIONS(1421), 1, + anon_sym_STAR, + ACTIONS(1423), 1, + aux_sym_interval_expression_token1, + ACTIONS(1425), 1, + anon_sym_DOLLAR, + STATE(24), 1, + sym__quoted_identifier, + STATE(37), 1, + sym_identifier, + STATE(144), 1, + sym_argument_reference, + STATE(278), 1, + sym__expression, + STATE(129), 2, + sym_dotted_name, + sym__identifier, + STATE(143), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1417), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(255), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [58515] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1389), 1, + aux_sym_cte_token2, + ACTIONS(1391), 1, + anon_sym_LPAREN, + ACTIONS(1395), 1, + aux_sym_null_hint_token3, + ACTIONS(1397), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1399), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1401), 1, + aux_sym_TRUE_token1, + ACTIONS(1403), 1, + aux_sym_FALSE_token1, + ACTIONS(1405), 1, + aux_sym_number_token1, + ACTIONS(1407), 1, + sym__unquoted_identifier, + ACTIONS(1409), 1, + anon_sym_BQUOTE, + ACTIONS(1411), 1, + anon_sym_DQUOTE, + ACTIONS(1413), 1, + anon_sym_SQUOTE, + ACTIONS(1415), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1419), 1, + anon_sym_DASH, + ACTIONS(1421), 1, + anon_sym_STAR, + ACTIONS(1423), 1, + aux_sym_interval_expression_token1, + ACTIONS(1425), 1, + anon_sym_DOLLAR, + STATE(24), 1, + sym__quoted_identifier, + STATE(37), 1, + sym_identifier, + STATE(144), 1, + sym_argument_reference, + STATE(277), 1, + sym__expression, + STATE(129), 2, + sym_dotted_name, + sym__identifier, + STATE(143), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1417), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(255), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [58618] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1651), 1, + aux_sym_cte_token2, + ACTIONS(1653), 1, + anon_sym_LPAREN, + ACTIONS(1655), 1, + aux_sym_null_hint_token3, + ACTIONS(1657), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1659), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1661), 1, + aux_sym_TRUE_token1, + ACTIONS(1663), 1, + aux_sym_FALSE_token1, + ACTIONS(1665), 1, + aux_sym_number_token1, + ACTIONS(1667), 1, + sym__unquoted_identifier, + ACTIONS(1669), 1, + anon_sym_BQUOTE, + ACTIONS(1671), 1, + anon_sym_DQUOTE, + ACTIONS(1673), 1, + anon_sym_SQUOTE, + ACTIONS(1675), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1679), 1, + anon_sym_DASH, + ACTIONS(1681), 1, + anon_sym_STAR, + ACTIONS(1683), 1, + aux_sym_interval_expression_token1, + ACTIONS(1685), 1, + anon_sym_DOLLAR, + STATE(167), 1, + sym_identifier, + STATE(225), 1, + sym__quoted_identifier, + STATE(321), 1, + sym_argument_reference, + STATE(377), 1, + sym__expression, + STATE(305), 2, + sym_dotted_name, + sym__identifier, + STATE(328), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1677), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(344), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [58721] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1569), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [58824] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1551), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [58927] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1449), 1, + aux_sym_cte_token2, + ACTIONS(1451), 1, + anon_sym_LPAREN, + ACTIONS(1453), 1, + aux_sym_null_hint_token3, + ACTIONS(1455), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1457), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1459), 1, + aux_sym_TRUE_token1, + ACTIONS(1461), 1, + aux_sym_FALSE_token1, + ACTIONS(1463), 1, + aux_sym_number_token1, + ACTIONS(1465), 1, + sym__unquoted_identifier, + ACTIONS(1467), 1, + anon_sym_BQUOTE, + ACTIONS(1469), 1, + anon_sym_DQUOTE, + ACTIONS(1471), 1, + anon_sym_SQUOTE, + ACTIONS(1473), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1477), 1, + anon_sym_DASH, + ACTIONS(1479), 1, + anon_sym_STAR, + ACTIONS(1481), 1, + aux_sym_interval_expression_token1, + ACTIONS(1483), 1, + anon_sym_DOLLAR, + STATE(1088), 1, + sym__quoted_identifier, + STATE(1145), 1, + sym_identifier, + STATE(1375), 1, + sym_argument_reference, + STATE(1442), 1, + sym__expression, + STATE(1304), 2, + sym_dotted_name, + sym__identifier, + STATE(1372), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1475), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1503), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [59030] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1449), 1, + aux_sym_cte_token2, + ACTIONS(1451), 1, + anon_sym_LPAREN, + ACTIONS(1453), 1, + aux_sym_null_hint_token3, + ACTIONS(1455), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1457), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1459), 1, + aux_sym_TRUE_token1, + ACTIONS(1461), 1, + aux_sym_FALSE_token1, + ACTIONS(1463), 1, + aux_sym_number_token1, + ACTIONS(1465), 1, + sym__unquoted_identifier, + ACTIONS(1467), 1, + anon_sym_BQUOTE, + ACTIONS(1469), 1, + anon_sym_DQUOTE, + ACTIONS(1471), 1, + anon_sym_SQUOTE, + ACTIONS(1473), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1477), 1, + anon_sym_DASH, + ACTIONS(1479), 1, + anon_sym_STAR, + ACTIONS(1481), 1, + aux_sym_interval_expression_token1, + ACTIONS(1483), 1, + anon_sym_DOLLAR, + STATE(1088), 1, + sym__quoted_identifier, + STATE(1145), 1, + sym_identifier, + STATE(1375), 1, + sym_argument_reference, + STATE(1448), 1, + sym__expression, + STATE(1304), 2, + sym_dotted_name, + sym__identifier, + STATE(1372), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1475), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1503), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [59133] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1449), 1, + aux_sym_cte_token2, + ACTIONS(1451), 1, + anon_sym_LPAREN, + ACTIONS(1453), 1, + aux_sym_null_hint_token3, + ACTIONS(1455), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1457), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1459), 1, + aux_sym_TRUE_token1, + ACTIONS(1461), 1, + aux_sym_FALSE_token1, + ACTIONS(1463), 1, + aux_sym_number_token1, + ACTIONS(1465), 1, + sym__unquoted_identifier, + ACTIONS(1467), 1, + anon_sym_BQUOTE, + ACTIONS(1469), 1, + anon_sym_DQUOTE, + ACTIONS(1471), 1, + anon_sym_SQUOTE, + ACTIONS(1473), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1477), 1, + anon_sym_DASH, + ACTIONS(1479), 1, + anon_sym_STAR, + ACTIONS(1481), 1, + aux_sym_interval_expression_token1, + ACTIONS(1483), 1, + anon_sym_DOLLAR, + STATE(1088), 1, + sym__quoted_identifier, + STATE(1145), 1, + sym_identifier, + STATE(1375), 1, + sym_argument_reference, + STATE(1451), 1, + sym__expression, + STATE(1304), 2, + sym_dotted_name, + sym__identifier, + STATE(1372), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1475), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1503), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [59236] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1449), 1, + aux_sym_cte_token2, + ACTIONS(1451), 1, + anon_sym_LPAREN, + ACTIONS(1453), 1, + aux_sym_null_hint_token3, + ACTIONS(1455), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1457), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1459), 1, + aux_sym_TRUE_token1, + ACTIONS(1461), 1, + aux_sym_FALSE_token1, + ACTIONS(1463), 1, + aux_sym_number_token1, + ACTIONS(1465), 1, + sym__unquoted_identifier, + ACTIONS(1467), 1, + anon_sym_BQUOTE, + ACTIONS(1469), 1, + anon_sym_DQUOTE, + ACTIONS(1471), 1, + anon_sym_SQUOTE, + ACTIONS(1473), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1477), 1, + anon_sym_DASH, + ACTIONS(1479), 1, + anon_sym_STAR, + ACTIONS(1481), 1, + aux_sym_interval_expression_token1, + ACTIONS(1483), 1, + anon_sym_DOLLAR, + STATE(1088), 1, + sym__quoted_identifier, + STATE(1145), 1, + sym_identifier, + STATE(1375), 1, + sym_argument_reference, + STATE(1464), 1, + sym__expression, + STATE(1304), 2, + sym_dotted_name, + sym__identifier, + STATE(1372), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1475), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1503), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [59339] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1615), 1, + aux_sym_cte_token2, + ACTIONS(1617), 1, + anon_sym_LPAREN, + ACTIONS(1619), 1, + aux_sym_null_hint_token3, + ACTIONS(1621), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1623), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1625), 1, + aux_sym_TRUE_token1, + ACTIONS(1627), 1, + aux_sym_FALSE_token1, + ACTIONS(1629), 1, + aux_sym_number_token1, + ACTIONS(1631), 1, + sym__unquoted_identifier, + ACTIONS(1633), 1, + anon_sym_BQUOTE, + ACTIONS(1635), 1, + anon_sym_DQUOTE, + ACTIONS(1637), 1, + anon_sym_SQUOTE, + ACTIONS(1639), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1643), 1, + anon_sym_DASH, + ACTIONS(1645), 1, + anon_sym_STAR, + ACTIONS(1647), 1, + aux_sym_interval_expression_token1, + ACTIONS(1649), 1, + anon_sym_DOLLAR, + STATE(412), 1, + sym_identifier, + STATE(436), 1, + sym__quoted_identifier, + STATE(627), 1, + sym_argument_reference, + STATE(678), 1, + sym__expression, + STATE(607), 2, + sym_dotted_name, + sym__identifier, + STATE(630), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1641), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(697), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [59442] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1449), 1, + aux_sym_cte_token2, + ACTIONS(1451), 1, + anon_sym_LPAREN, + ACTIONS(1453), 1, + aux_sym_null_hint_token3, + ACTIONS(1455), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1457), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1459), 1, + aux_sym_TRUE_token1, + ACTIONS(1461), 1, + aux_sym_FALSE_token1, + ACTIONS(1463), 1, + aux_sym_number_token1, + ACTIONS(1465), 1, + sym__unquoted_identifier, + ACTIONS(1467), 1, + anon_sym_BQUOTE, + ACTIONS(1469), 1, + anon_sym_DQUOTE, + ACTIONS(1471), 1, + anon_sym_SQUOTE, + ACTIONS(1473), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1477), 1, + anon_sym_DASH, + ACTIONS(1479), 1, + anon_sym_STAR, + ACTIONS(1481), 1, + aux_sym_interval_expression_token1, + ACTIONS(1483), 1, + anon_sym_DOLLAR, + STATE(1088), 1, + sym__quoted_identifier, + STATE(1145), 1, + sym_identifier, + STATE(1375), 1, + sym_argument_reference, + STATE(1500), 1, + sym__expression, + STATE(1304), 2, + sym_dotted_name, + sym__identifier, + STATE(1372), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1475), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1503), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [59545] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1618), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [59648] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1602), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [59751] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1758), 1, + anon_sym_COLON_COLON, + ACTIONS(1760), 1, + anon_sym_DOT_STAR, + ACTIONS(338), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(340), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [59812] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1616), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [59915] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1555), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [60018] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(491), 1, + aux_sym_cte_token2, + ACTIONS(493), 1, + anon_sym_LPAREN, + ACTIONS(495), 1, + aux_sym_null_hint_token3, + ACTIONS(497), 1, + aux_sym_select_subexpression_token1, + ACTIONS(499), 1, + aux_sym_conditional_expression_token1, + ACTIONS(501), 1, + aux_sym_TRUE_token1, + ACTIONS(503), 1, + aux_sym_FALSE_token1, + ACTIONS(505), 1, + aux_sym_number_token1, + ACTIONS(507), 1, + sym__unquoted_identifier, + ACTIONS(509), 1, + anon_sym_BQUOTE, + ACTIONS(511), 1, + anon_sym_DQUOTE, + ACTIONS(513), 1, + anon_sym_SQUOTE, + ACTIONS(515), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(519), 1, + anon_sym_DASH, + ACTIONS(521), 1, + anon_sym_STAR, + ACTIONS(523), 1, + aux_sym_interval_expression_token1, + ACTIONS(525), 1, + anon_sym_DOLLAR, + STATE(473), 1, + sym_identifier, + STATE(504), 1, + sym__quoted_identifier, + STATE(961), 1, + sym_argument_reference, + STATE(1014), 1, + sym__expression, + STATE(862), 2, + sym_dotted_name, + sym__identifier, + STATE(962), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(517), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1000), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [60121] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1389), 1, + aux_sym_cte_token2, + ACTIONS(1391), 1, + anon_sym_LPAREN, + ACTIONS(1395), 1, + aux_sym_null_hint_token3, + ACTIONS(1397), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1399), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1401), 1, + aux_sym_TRUE_token1, + ACTIONS(1403), 1, + aux_sym_FALSE_token1, + ACTIONS(1405), 1, + aux_sym_number_token1, + ACTIONS(1407), 1, + sym__unquoted_identifier, + ACTIONS(1409), 1, + anon_sym_BQUOTE, + ACTIONS(1411), 1, + anon_sym_DQUOTE, + ACTIONS(1413), 1, + anon_sym_SQUOTE, + ACTIONS(1415), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1419), 1, + anon_sym_DASH, + ACTIONS(1421), 1, + anon_sym_STAR, + ACTIONS(1423), 1, + aux_sym_interval_expression_token1, + ACTIONS(1425), 1, + anon_sym_DOLLAR, + STATE(24), 1, + sym__quoted_identifier, + STATE(37), 1, + sym_identifier, + STATE(144), 1, + sym_argument_reference, + STATE(983), 1, + sym__expression, + STATE(129), 2, + sym_dotted_name, + sym__identifier, + STATE(143), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1417), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(255), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [60224] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1207), 1, + aux_sym_cte_token2, + ACTIONS(1209), 1, + anon_sym_LPAREN, + ACTIONS(1211), 1, + aux_sym_null_hint_token3, + ACTIONS(1213), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1215), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1217), 1, + aux_sym_TRUE_token1, + ACTIONS(1219), 1, + aux_sym_FALSE_token1, + ACTIONS(1221), 1, + aux_sym_number_token1, + ACTIONS(1223), 1, + sym__unquoted_identifier, + ACTIONS(1225), 1, + anon_sym_BQUOTE, + ACTIONS(1227), 1, + anon_sym_DQUOTE, + ACTIONS(1229), 1, + anon_sym_SQUOTE, + ACTIONS(1231), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1235), 1, + anon_sym_DASH, + ACTIONS(1237), 1, + anon_sym_STAR, + ACTIONS(1239), 1, + aux_sym_interval_expression_token1, + ACTIONS(1241), 1, + anon_sym_DOLLAR, + STATE(292), 1, + sym_identifier, + STATE(301), 1, + sym__quoted_identifier, + STATE(445), 1, + sym_argument_reference, + STATE(488), 1, + sym__expression, + STATE(403), 2, + sym_dotted_name, + sym__identifier, + STATE(447), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1233), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(452), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [60327] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1762), 1, + anon_sym_DOT, + STATE(611), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(121), 12, + aux_sym_with_clause_token1, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(119), 35, + aux_sym_cte_token2, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [60388] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(491), 1, + aux_sym_cte_token2, + ACTIONS(493), 1, + anon_sym_LPAREN, + ACTIONS(495), 1, + aux_sym_null_hint_token3, + ACTIONS(497), 1, + aux_sym_select_subexpression_token1, + ACTIONS(499), 1, + aux_sym_conditional_expression_token1, + ACTIONS(501), 1, + aux_sym_TRUE_token1, + ACTIONS(503), 1, + aux_sym_FALSE_token1, + ACTIONS(505), 1, + aux_sym_number_token1, + ACTIONS(507), 1, + sym__unquoted_identifier, + ACTIONS(509), 1, + anon_sym_BQUOTE, + ACTIONS(511), 1, + anon_sym_DQUOTE, + ACTIONS(513), 1, + anon_sym_SQUOTE, + ACTIONS(515), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(519), 1, + anon_sym_DASH, + ACTIONS(521), 1, + anon_sym_STAR, + ACTIONS(523), 1, + aux_sym_interval_expression_token1, + ACTIONS(525), 1, + anon_sym_DOLLAR, + STATE(473), 1, + sym_identifier, + STATE(504), 1, + sym__quoted_identifier, + STATE(961), 1, + sym_argument_reference, + STATE(1017), 1, + sym__expression, + STATE(862), 2, + sym_dotted_name, + sym__identifier, + STATE(962), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(517), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1000), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [60491] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(491), 1, + aux_sym_cte_token2, + ACTIONS(493), 1, + anon_sym_LPAREN, + ACTIONS(495), 1, + aux_sym_null_hint_token3, + ACTIONS(497), 1, + aux_sym_select_subexpression_token1, + ACTIONS(499), 1, + aux_sym_conditional_expression_token1, + ACTIONS(501), 1, + aux_sym_TRUE_token1, + ACTIONS(503), 1, + aux_sym_FALSE_token1, + ACTIONS(505), 1, + aux_sym_number_token1, + ACTIONS(507), 1, + sym__unquoted_identifier, + ACTIONS(509), 1, + anon_sym_BQUOTE, + ACTIONS(511), 1, + anon_sym_DQUOTE, + ACTIONS(513), 1, + anon_sym_SQUOTE, + ACTIONS(515), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(519), 1, + anon_sym_DASH, + ACTIONS(521), 1, + anon_sym_STAR, + ACTIONS(523), 1, + aux_sym_interval_expression_token1, + ACTIONS(525), 1, + anon_sym_DOLLAR, + STATE(473), 1, + sym_identifier, + STATE(504), 1, + sym__quoted_identifier, + STATE(961), 1, + sym_argument_reference, + STATE(1016), 1, + sym__expression, + STATE(862), 2, + sym_dotted_name, + sym__identifier, + STATE(962), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(517), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1000), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [60594] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(491), 1, + aux_sym_cte_token2, + ACTIONS(493), 1, + anon_sym_LPAREN, + ACTIONS(495), 1, + aux_sym_null_hint_token3, + ACTIONS(497), 1, + aux_sym_select_subexpression_token1, + ACTIONS(499), 1, + aux_sym_conditional_expression_token1, + ACTIONS(501), 1, + aux_sym_TRUE_token1, + ACTIONS(503), 1, + aux_sym_FALSE_token1, + ACTIONS(505), 1, + aux_sym_number_token1, + ACTIONS(507), 1, + sym__unquoted_identifier, + ACTIONS(509), 1, + anon_sym_BQUOTE, + ACTIONS(511), 1, + anon_sym_DQUOTE, + ACTIONS(513), 1, + anon_sym_SQUOTE, + ACTIONS(515), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(519), 1, + anon_sym_DASH, + ACTIONS(521), 1, + anon_sym_STAR, + ACTIONS(523), 1, + aux_sym_interval_expression_token1, + ACTIONS(525), 1, + anon_sym_DOLLAR, + STATE(473), 1, + sym_identifier, + STATE(504), 1, + sym__quoted_identifier, + STATE(961), 1, + sym_argument_reference, + STATE(1027), 1, + sym__expression, + STATE(862), 2, + sym_dotted_name, + sym__identifier, + STATE(962), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(517), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1000), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [60697] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(491), 1, + aux_sym_cte_token2, + ACTIONS(493), 1, + anon_sym_LPAREN, + ACTIONS(495), 1, + aux_sym_null_hint_token3, + ACTIONS(497), 1, + aux_sym_select_subexpression_token1, + ACTIONS(499), 1, + aux_sym_conditional_expression_token1, + ACTIONS(501), 1, + aux_sym_TRUE_token1, + ACTIONS(503), 1, + aux_sym_FALSE_token1, + ACTIONS(505), 1, + aux_sym_number_token1, + ACTIONS(507), 1, + sym__unquoted_identifier, + ACTIONS(509), 1, + anon_sym_BQUOTE, + ACTIONS(511), 1, + anon_sym_DQUOTE, + ACTIONS(513), 1, + anon_sym_SQUOTE, + ACTIONS(515), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(519), 1, + anon_sym_DASH, + ACTIONS(521), 1, + anon_sym_STAR, + ACTIONS(523), 1, + aux_sym_interval_expression_token1, + ACTIONS(525), 1, + anon_sym_DOLLAR, + STATE(473), 1, + sym_identifier, + STATE(504), 1, + sym__quoted_identifier, + STATE(961), 1, + sym_argument_reference, + STATE(1023), 1, + sym__expression, + STATE(862), 2, + sym_dotted_name, + sym__identifier, + STATE(962), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(517), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1000), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [60800] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(491), 1, + aux_sym_cte_token2, + ACTIONS(493), 1, + anon_sym_LPAREN, + ACTIONS(495), 1, + aux_sym_null_hint_token3, + ACTIONS(497), 1, + aux_sym_select_subexpression_token1, + ACTIONS(499), 1, + aux_sym_conditional_expression_token1, + ACTIONS(501), 1, + aux_sym_TRUE_token1, + ACTIONS(503), 1, + aux_sym_FALSE_token1, + ACTIONS(505), 1, + aux_sym_number_token1, + ACTIONS(507), 1, + sym__unquoted_identifier, + ACTIONS(509), 1, + anon_sym_BQUOTE, + ACTIONS(511), 1, + anon_sym_DQUOTE, + ACTIONS(513), 1, + anon_sym_SQUOTE, + ACTIONS(515), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(519), 1, + anon_sym_DASH, + ACTIONS(521), 1, + anon_sym_STAR, + ACTIONS(523), 1, + aux_sym_interval_expression_token1, + ACTIONS(525), 1, + anon_sym_DOLLAR, + STATE(473), 1, + sym_identifier, + STATE(504), 1, + sym__quoted_identifier, + STATE(961), 1, + sym_argument_reference, + STATE(1022), 1, + sym__expression, + STATE(862), 2, + sym_dotted_name, + sym__identifier, + STATE(962), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(517), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1000), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [60903] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1608), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [61006] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1612), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [61109] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1717), 1, + anon_sym_LBRACK, + STATE(807), 1, + aux_sym_array_type_repeat1, + ACTIONS(328), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(330), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [61170] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1562), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [61273] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1600), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [61376] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(491), 1, + aux_sym_cte_token2, + ACTIONS(493), 1, + anon_sym_LPAREN, + ACTIONS(495), 1, + aux_sym_null_hint_token3, + ACTIONS(497), 1, + aux_sym_select_subexpression_token1, + ACTIONS(499), 1, + aux_sym_conditional_expression_token1, + ACTIONS(501), 1, + aux_sym_TRUE_token1, + ACTIONS(503), 1, + aux_sym_FALSE_token1, + ACTIONS(505), 1, + aux_sym_number_token1, + ACTIONS(507), 1, + sym__unquoted_identifier, + ACTIONS(509), 1, + anon_sym_BQUOTE, + ACTIONS(511), 1, + anon_sym_DQUOTE, + ACTIONS(513), 1, + anon_sym_SQUOTE, + ACTIONS(515), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(519), 1, + anon_sym_DASH, + ACTIONS(521), 1, + anon_sym_STAR, + ACTIONS(523), 1, + aux_sym_interval_expression_token1, + ACTIONS(525), 1, + anon_sym_DOLLAR, + STATE(473), 1, + sym_identifier, + STATE(504), 1, + sym__quoted_identifier, + STATE(961), 1, + sym_argument_reference, + STATE(1010), 1, + sym__expression, + STATE(862), 2, + sym_dotted_name, + sym__identifier, + STATE(962), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(517), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1000), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [61479] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(491), 1, + aux_sym_cte_token2, + ACTIONS(493), 1, + anon_sym_LPAREN, + ACTIONS(495), 1, + aux_sym_null_hint_token3, + ACTIONS(497), 1, + aux_sym_select_subexpression_token1, + ACTIONS(499), 1, + aux_sym_conditional_expression_token1, + ACTIONS(501), 1, + aux_sym_TRUE_token1, + ACTIONS(503), 1, + aux_sym_FALSE_token1, + ACTIONS(505), 1, + aux_sym_number_token1, + ACTIONS(507), 1, + sym__unquoted_identifier, + ACTIONS(509), 1, + anon_sym_BQUOTE, + ACTIONS(511), 1, + anon_sym_DQUOTE, + ACTIONS(513), 1, + anon_sym_SQUOTE, + ACTIONS(515), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(519), 1, + anon_sym_DASH, + ACTIONS(521), 1, + anon_sym_STAR, + ACTIONS(523), 1, + aux_sym_interval_expression_token1, + ACTIONS(525), 1, + anon_sym_DOLLAR, + STATE(473), 1, + sym_identifier, + STATE(504), 1, + sym__quoted_identifier, + STATE(961), 1, + sym_argument_reference, + STATE(1030), 1, + sym__expression, + STATE(862), 2, + sym_dotted_name, + sym__identifier, + STATE(962), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(517), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1000), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [61582] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1642), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [61685] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1207), 1, + aux_sym_cte_token2, + ACTIONS(1209), 1, + anon_sym_LPAREN, + ACTIONS(1211), 1, + aux_sym_null_hint_token3, + ACTIONS(1213), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1215), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1217), 1, + aux_sym_TRUE_token1, + ACTIONS(1219), 1, + aux_sym_FALSE_token1, + ACTIONS(1221), 1, + aux_sym_number_token1, + ACTIONS(1223), 1, + sym__unquoted_identifier, + ACTIONS(1225), 1, + anon_sym_BQUOTE, + ACTIONS(1227), 1, + anon_sym_DQUOTE, + ACTIONS(1229), 1, + anon_sym_SQUOTE, + ACTIONS(1231), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1235), 1, + anon_sym_DASH, + ACTIONS(1237), 1, + anon_sym_STAR, + ACTIONS(1239), 1, + aux_sym_interval_expression_token1, + ACTIONS(1241), 1, + anon_sym_DOLLAR, + STATE(292), 1, + sym_identifier, + STATE(301), 1, + sym__quoted_identifier, + STATE(445), 1, + sym_argument_reference, + STATE(485), 1, + sym__expression, + STATE(403), 2, + sym_dotted_name, + sym__identifier, + STATE(447), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1233), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(452), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [61788] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(39), 1, + aux_sym_cte_token2, + ACTIONS(41), 1, + anon_sym_LPAREN, + ACTIONS(43), 1, + aux_sym_null_hint_token3, + ACTIONS(45), 1, + aux_sym_select_subexpression_token1, + ACTIONS(47), 1, + aux_sym_conditional_expression_token1, + ACTIONS(49), 1, + aux_sym_TRUE_token1, + ACTIONS(51), 1, + aux_sym_FALSE_token1, + ACTIONS(53), 1, + aux_sym_number_token1, + ACTIONS(55), 1, + sym__unquoted_identifier, + ACTIONS(57), 1, + anon_sym_BQUOTE, + ACTIONS(59), 1, + anon_sym_DQUOTE, + ACTIONS(61), 1, + anon_sym_SQUOTE, + ACTIONS(63), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(67), 1, + anon_sym_DASH, + ACTIONS(69), 1, + anon_sym_STAR, + ACTIONS(71), 1, + aux_sym_interval_expression_token1, + ACTIONS(73), 1, + anon_sym_DOLLAR, + STATE(14), 1, + sym_identifier, + STATE(33), 1, + sym__quoted_identifier, + STATE(100), 1, + sym_argument_reference, + STATE(169), 1, + sym__expression, + STATE(78), 2, + sym_dotted_name, + sym__identifier, + STATE(99), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(65), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(202), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [61891] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1207), 1, + aux_sym_cte_token2, + ACTIONS(1209), 1, + anon_sym_LPAREN, + ACTIONS(1211), 1, + aux_sym_null_hint_token3, + ACTIONS(1213), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1215), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1217), 1, + aux_sym_TRUE_token1, + ACTIONS(1219), 1, + aux_sym_FALSE_token1, + ACTIONS(1221), 1, + aux_sym_number_token1, + ACTIONS(1223), 1, + sym__unquoted_identifier, + ACTIONS(1225), 1, + anon_sym_BQUOTE, + ACTIONS(1227), 1, + anon_sym_DQUOTE, + ACTIONS(1229), 1, + anon_sym_SQUOTE, + ACTIONS(1231), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1235), 1, + anon_sym_DASH, + ACTIONS(1237), 1, + anon_sym_STAR, + ACTIONS(1239), 1, + aux_sym_interval_expression_token1, + ACTIONS(1241), 1, + anon_sym_DOLLAR, + STATE(292), 1, + sym_identifier, + STATE(301), 1, + sym__quoted_identifier, + STATE(445), 1, + sym_argument_reference, + STATE(479), 1, + sym__expression, + STATE(403), 2, + sym_dotted_name, + sym__identifier, + STATE(447), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1233), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(452), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [61994] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1207), 1, + aux_sym_cte_token2, + ACTIONS(1209), 1, + anon_sym_LPAREN, + ACTIONS(1211), 1, + aux_sym_null_hint_token3, + ACTIONS(1213), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1215), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1217), 1, + aux_sym_TRUE_token1, + ACTIONS(1219), 1, + aux_sym_FALSE_token1, + ACTIONS(1221), 1, + aux_sym_number_token1, + ACTIONS(1223), 1, + sym__unquoted_identifier, + ACTIONS(1225), 1, + anon_sym_BQUOTE, + ACTIONS(1227), 1, + anon_sym_DQUOTE, + ACTIONS(1229), 1, + anon_sym_SQUOTE, + ACTIONS(1231), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1235), 1, + anon_sym_DASH, + ACTIONS(1237), 1, + anon_sym_STAR, + ACTIONS(1239), 1, + aux_sym_interval_expression_token1, + ACTIONS(1241), 1, + anon_sym_DOLLAR, + STATE(292), 1, + sym_identifier, + STATE(301), 1, + sym__quoted_identifier, + STATE(445), 1, + sym_argument_reference, + STATE(490), 1, + sym__expression, + STATE(403), 2, + sym_dotted_name, + sym__identifier, + STATE(447), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1233), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(452), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [62097] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(39), 1, + aux_sym_cte_token2, + ACTIONS(41), 1, + anon_sym_LPAREN, + ACTIONS(43), 1, + aux_sym_null_hint_token3, + ACTIONS(45), 1, + aux_sym_select_subexpression_token1, + ACTIONS(47), 1, + aux_sym_conditional_expression_token1, + ACTIONS(49), 1, + aux_sym_TRUE_token1, + ACTIONS(51), 1, + aux_sym_FALSE_token1, + ACTIONS(53), 1, + aux_sym_number_token1, + ACTIONS(55), 1, + sym__unquoted_identifier, + ACTIONS(57), 1, + anon_sym_BQUOTE, + ACTIONS(59), 1, + anon_sym_DQUOTE, + ACTIONS(61), 1, + anon_sym_SQUOTE, + ACTIONS(63), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(67), 1, + anon_sym_DASH, + ACTIONS(69), 1, + anon_sym_STAR, + ACTIONS(71), 1, + aux_sym_interval_expression_token1, + ACTIONS(73), 1, + anon_sym_DOLLAR, + STATE(14), 1, + sym_identifier, + STATE(33), 1, + sym__quoted_identifier, + STATE(100), 1, + sym_argument_reference, + STATE(170), 1, + sym__expression, + STATE(78), 2, + sym_dotted_name, + sym__identifier, + STATE(99), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(65), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(202), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [62200] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1207), 1, + aux_sym_cte_token2, + ACTIONS(1209), 1, + anon_sym_LPAREN, + ACTIONS(1211), 1, + aux_sym_null_hint_token3, + ACTIONS(1213), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1215), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1217), 1, + aux_sym_TRUE_token1, + ACTIONS(1219), 1, + aux_sym_FALSE_token1, + ACTIONS(1221), 1, + aux_sym_number_token1, + ACTIONS(1223), 1, + sym__unquoted_identifier, + ACTIONS(1225), 1, + anon_sym_BQUOTE, + ACTIONS(1227), 1, + anon_sym_DQUOTE, + ACTIONS(1229), 1, + anon_sym_SQUOTE, + ACTIONS(1231), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1235), 1, + anon_sym_DASH, + ACTIONS(1237), 1, + anon_sym_STAR, + ACTIONS(1239), 1, + aux_sym_interval_expression_token1, + ACTIONS(1241), 1, + anon_sym_DOLLAR, + STATE(292), 1, + sym_identifier, + STATE(301), 1, + sym__quoted_identifier, + STATE(445), 1, + sym_argument_reference, + STATE(472), 1, + sym__expression, + STATE(403), 2, + sym_dotted_name, + sym__identifier, + STATE(447), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1233), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(452), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [62303] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1207), 1, + aux_sym_cte_token2, + ACTIONS(1209), 1, + anon_sym_LPAREN, + ACTIONS(1211), 1, + aux_sym_null_hint_token3, + ACTIONS(1213), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1215), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1217), 1, + aux_sym_TRUE_token1, + ACTIONS(1219), 1, + aux_sym_FALSE_token1, + ACTIONS(1221), 1, + aux_sym_number_token1, + ACTIONS(1223), 1, + sym__unquoted_identifier, + ACTIONS(1225), 1, + anon_sym_BQUOTE, + ACTIONS(1227), 1, + anon_sym_DQUOTE, + ACTIONS(1229), 1, + anon_sym_SQUOTE, + ACTIONS(1231), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1235), 1, + anon_sym_DASH, + ACTIONS(1237), 1, + anon_sym_STAR, + ACTIONS(1239), 1, + aux_sym_interval_expression_token1, + ACTIONS(1241), 1, + anon_sym_DOLLAR, + STATE(292), 1, + sym_identifier, + STATE(301), 1, + sym__quoted_identifier, + STATE(445), 1, + sym_argument_reference, + STATE(469), 1, + sym__expression, + STATE(403), 2, + sym_dotted_name, + sym__identifier, + STATE(447), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1233), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(452), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [62406] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1207), 1, + aux_sym_cte_token2, + ACTIONS(1209), 1, + anon_sym_LPAREN, + ACTIONS(1211), 1, + aux_sym_null_hint_token3, + ACTIONS(1213), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1215), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1217), 1, + aux_sym_TRUE_token1, + ACTIONS(1219), 1, + aux_sym_FALSE_token1, + ACTIONS(1221), 1, + aux_sym_number_token1, + ACTIONS(1223), 1, + sym__unquoted_identifier, + ACTIONS(1225), 1, + anon_sym_BQUOTE, + ACTIONS(1227), 1, + anon_sym_DQUOTE, + ACTIONS(1229), 1, + anon_sym_SQUOTE, + ACTIONS(1231), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1235), 1, + anon_sym_DASH, + ACTIONS(1237), 1, + anon_sym_STAR, + ACTIONS(1239), 1, + aux_sym_interval_expression_token1, + ACTIONS(1241), 1, + anon_sym_DOLLAR, + STATE(292), 1, + sym_identifier, + STATE(301), 1, + sym__quoted_identifier, + STATE(445), 1, + sym_argument_reference, + STATE(464), 1, + sym__expression, + STATE(403), 2, + sym_dotted_name, + sym__identifier, + STATE(447), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1233), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(452), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [62509] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(39), 1, + aux_sym_cte_token2, + ACTIONS(41), 1, + anon_sym_LPAREN, + ACTIONS(43), 1, + aux_sym_null_hint_token3, + ACTIONS(45), 1, + aux_sym_select_subexpression_token1, + ACTIONS(47), 1, + aux_sym_conditional_expression_token1, + ACTIONS(49), 1, + aux_sym_TRUE_token1, + ACTIONS(51), 1, + aux_sym_FALSE_token1, + ACTIONS(53), 1, + aux_sym_number_token1, + ACTIONS(55), 1, + sym__unquoted_identifier, + ACTIONS(57), 1, + anon_sym_BQUOTE, + ACTIONS(59), 1, + anon_sym_DQUOTE, + ACTIONS(61), 1, + anon_sym_SQUOTE, + ACTIONS(63), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(67), 1, + anon_sym_DASH, + ACTIONS(69), 1, + anon_sym_STAR, + ACTIONS(71), 1, + aux_sym_interval_expression_token1, + ACTIONS(73), 1, + anon_sym_DOLLAR, + STATE(14), 1, + sym_identifier, + STATE(33), 1, + sym__quoted_identifier, + STATE(100), 1, + sym_argument_reference, + STATE(171), 1, + sym__expression, + STATE(78), 2, + sym_dotted_name, + sym__identifier, + STATE(99), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(65), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(202), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [62612] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(39), 1, + aux_sym_cte_token2, + ACTIONS(41), 1, + anon_sym_LPAREN, + ACTIONS(43), 1, + aux_sym_null_hint_token3, + ACTIONS(45), 1, + aux_sym_select_subexpression_token1, + ACTIONS(47), 1, + aux_sym_conditional_expression_token1, + ACTIONS(49), 1, + aux_sym_TRUE_token1, + ACTIONS(51), 1, + aux_sym_FALSE_token1, + ACTIONS(53), 1, + aux_sym_number_token1, + ACTIONS(55), 1, + sym__unquoted_identifier, + ACTIONS(57), 1, + anon_sym_BQUOTE, + ACTIONS(59), 1, + anon_sym_DQUOTE, + ACTIONS(61), 1, + anon_sym_SQUOTE, + ACTIONS(63), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(67), 1, + anon_sym_DASH, + ACTIONS(69), 1, + anon_sym_STAR, + ACTIONS(71), 1, + aux_sym_interval_expression_token1, + ACTIONS(73), 1, + anon_sym_DOLLAR, + STATE(14), 1, + sym_identifier, + STATE(33), 1, + sym__quoted_identifier, + STATE(100), 1, + sym_argument_reference, + STATE(176), 1, + sym__expression, + STATE(78), 2, + sym_dotted_name, + sym__identifier, + STATE(99), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(65), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(202), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [62715] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(39), 1, + aux_sym_cte_token2, + ACTIONS(41), 1, + anon_sym_LPAREN, + ACTIONS(43), 1, + aux_sym_null_hint_token3, + ACTIONS(45), 1, + aux_sym_select_subexpression_token1, + ACTIONS(47), 1, + aux_sym_conditional_expression_token1, + ACTIONS(49), 1, + aux_sym_TRUE_token1, + ACTIONS(51), 1, + aux_sym_FALSE_token1, + ACTIONS(53), 1, + aux_sym_number_token1, + ACTIONS(55), 1, + sym__unquoted_identifier, + ACTIONS(57), 1, + anon_sym_BQUOTE, + ACTIONS(59), 1, + anon_sym_DQUOTE, + ACTIONS(61), 1, + anon_sym_SQUOTE, + ACTIONS(63), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(67), 1, + anon_sym_DASH, + ACTIONS(69), 1, + anon_sym_STAR, + ACTIONS(71), 1, + aux_sym_interval_expression_token1, + ACTIONS(73), 1, + anon_sym_DOLLAR, + STATE(14), 1, + sym_identifier, + STATE(33), 1, + sym__quoted_identifier, + STATE(100), 1, + sym_argument_reference, + STATE(177), 1, + sym__expression, + STATE(78), 2, + sym_dotted_name, + sym__identifier, + STATE(99), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(65), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(202), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [62818] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(39), 1, + aux_sym_cte_token2, + ACTIONS(41), 1, + anon_sym_LPAREN, + ACTIONS(43), 1, + aux_sym_null_hint_token3, + ACTIONS(45), 1, + aux_sym_select_subexpression_token1, + ACTIONS(47), 1, + aux_sym_conditional_expression_token1, + ACTIONS(49), 1, + aux_sym_TRUE_token1, + ACTIONS(51), 1, + aux_sym_FALSE_token1, + ACTIONS(53), 1, + aux_sym_number_token1, + ACTIONS(55), 1, + sym__unquoted_identifier, + ACTIONS(57), 1, + anon_sym_BQUOTE, + ACTIONS(59), 1, + anon_sym_DQUOTE, + ACTIONS(61), 1, + anon_sym_SQUOTE, + ACTIONS(63), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(67), 1, + anon_sym_DASH, + ACTIONS(69), 1, + anon_sym_STAR, + ACTIONS(71), 1, + aux_sym_interval_expression_token1, + ACTIONS(73), 1, + anon_sym_DOLLAR, + STATE(14), 1, + sym_identifier, + STATE(33), 1, + sym__quoted_identifier, + STATE(100), 1, + sym_argument_reference, + STATE(183), 1, + sym__expression, + STATE(78), 2, + sym_dotted_name, + sym__identifier, + STATE(99), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(65), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(202), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [62921] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1651), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [63024] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1655), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [63127] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(567), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [63230] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1389), 1, + aux_sym_cte_token2, + ACTIONS(1391), 1, + anon_sym_LPAREN, + ACTIONS(1395), 1, + aux_sym_null_hint_token3, + ACTIONS(1397), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1399), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1401), 1, + aux_sym_TRUE_token1, + ACTIONS(1403), 1, + aux_sym_FALSE_token1, + ACTIONS(1405), 1, + aux_sym_number_token1, + ACTIONS(1407), 1, + sym__unquoted_identifier, + ACTIONS(1409), 1, + anon_sym_BQUOTE, + ACTIONS(1411), 1, + anon_sym_DQUOTE, + ACTIONS(1413), 1, + anon_sym_SQUOTE, + ACTIONS(1415), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1419), 1, + anon_sym_DASH, + ACTIONS(1421), 1, + anon_sym_STAR, + ACTIONS(1423), 1, + aux_sym_interval_expression_token1, + ACTIONS(1425), 1, + anon_sym_DOLLAR, + STATE(24), 1, + sym__quoted_identifier, + STATE(37), 1, + sym_identifier, + STATE(144), 1, + sym_argument_reference, + STATE(988), 1, + sym__expression, + STATE(129), 2, + sym_dotted_name, + sym__identifier, + STATE(143), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1417), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(255), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [63333] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1722), 1, + aux_sym_cte_token2, + ACTIONS(1724), 1, + anon_sym_LPAREN, + ACTIONS(1726), 1, + aux_sym_null_hint_token3, + ACTIONS(1728), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1730), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1732), 1, + aux_sym_TRUE_token1, + ACTIONS(1734), 1, + aux_sym_FALSE_token1, + ACTIONS(1736), 1, + aux_sym_number_token1, + ACTIONS(1738), 1, + sym__unquoted_identifier, + ACTIONS(1740), 1, + anon_sym_BQUOTE, + ACTIONS(1742), 1, + anon_sym_DQUOTE, + ACTIONS(1744), 1, + anon_sym_SQUOTE, + ACTIONS(1746), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1750), 1, + anon_sym_DASH, + ACTIONS(1752), 1, + anon_sym_STAR, + ACTIONS(1754), 1, + aux_sym_interval_expression_token1, + ACTIONS(1756), 1, + anon_sym_DOLLAR, + STATE(629), 1, + sym__quoted_identifier, + STATE(990), 1, + sym_identifier, + STATE(1135), 1, + sym_argument_reference, + STATE(1206), 1, + sym__expression, + STATE(1117), 2, + sym_dotted_name, + sym__identifier, + STATE(1139), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1748), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1192), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [63436] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1165), 1, + aux_sym_cte_token2, + ACTIONS(1167), 1, + anon_sym_LPAREN, + ACTIONS(1169), 1, + aux_sym_null_hint_token3, + ACTIONS(1171), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1173), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1175), 1, + aux_sym_TRUE_token1, + ACTIONS(1177), 1, + aux_sym_FALSE_token1, + ACTIONS(1179), 1, + aux_sym_number_token1, + ACTIONS(1181), 1, + sym__unquoted_identifier, + ACTIONS(1183), 1, + anon_sym_BQUOTE, + ACTIONS(1185), 1, + anon_sym_DQUOTE, + ACTIONS(1187), 1, + anon_sym_SQUOTE, + ACTIONS(1189), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1193), 1, + anon_sym_DASH, + ACTIONS(1195), 1, + anon_sym_STAR, + ACTIONS(1197), 1, + aux_sym_interval_expression_token1, + ACTIONS(1199), 1, + anon_sym_DOLLAR, + STATE(514), 1, + sym_identifier, + STATE(549), 1, + sym__quoted_identifier, + STATE(999), 1, + sym_argument_reference, + STATE(1074), 1, + sym__expression, + STATE(979), 2, + sym_dotted_name, + sym__identifier, + STATE(996), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1191), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1065), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [63539] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1575), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [63642] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1497), 1, + aux_sym_cte_token2, + ACTIONS(1499), 1, + anon_sym_LPAREN, + ACTIONS(1501), 1, + aux_sym_null_hint_token3, + ACTIONS(1503), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1505), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1507), 1, + aux_sym_TRUE_token1, + ACTIONS(1509), 1, + aux_sym_FALSE_token1, + ACTIONS(1511), 1, + aux_sym_number_token1, + ACTIONS(1513), 1, + sym__unquoted_identifier, + ACTIONS(1515), 1, + anon_sym_BQUOTE, + ACTIONS(1517), 1, + anon_sym_DQUOTE, + ACTIONS(1519), 1, + anon_sym_SQUOTE, + ACTIONS(1521), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1525), 1, + anon_sym_DASH, + ACTIONS(1527), 1, + anon_sym_STAR, + ACTIONS(1529), 1, + aux_sym_interval_expression_token1, + ACTIONS(1531), 1, + anon_sym_DOLLAR, + STATE(318), 1, + sym_identifier, + STATE(373), 1, + sym__quoted_identifier, + STATE(518), 1, + sym_argument_reference, + STATE(656), 1, + sym__expression, + STATE(451), 2, + sym_dotted_name, + sym__identifier, + STATE(515), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1523), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(571), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [63745] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1520), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [63848] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1594), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [63951] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1722), 1, + aux_sym_cte_token2, + ACTIONS(1724), 1, + anon_sym_LPAREN, + ACTIONS(1726), 1, + aux_sym_null_hint_token3, + ACTIONS(1728), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1730), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1732), 1, + aux_sym_TRUE_token1, + ACTIONS(1734), 1, + aux_sym_FALSE_token1, + ACTIONS(1736), 1, + aux_sym_number_token1, + ACTIONS(1738), 1, + sym__unquoted_identifier, + ACTIONS(1740), 1, + anon_sym_BQUOTE, + ACTIONS(1742), 1, + anon_sym_DQUOTE, + ACTIONS(1744), 1, + anon_sym_SQUOTE, + ACTIONS(1746), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1750), 1, + anon_sym_DASH, + ACTIONS(1752), 1, + anon_sym_STAR, + ACTIONS(1754), 1, + aux_sym_interval_expression_token1, + ACTIONS(1756), 1, + anon_sym_DOLLAR, + STATE(629), 1, + sym__quoted_identifier, + STATE(990), 1, + sym_identifier, + STATE(1135), 1, + sym_argument_reference, + STATE(1168), 1, + sym__expression, + STATE(1117), 2, + sym_dotted_name, + sym__identifier, + STATE(1139), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1748), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1192), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [64054] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1111), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [64157] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1207), 1, + aux_sym_cte_token2, + ACTIONS(1209), 1, + anon_sym_LPAREN, + ACTIONS(1211), 1, + aux_sym_null_hint_token3, + ACTIONS(1213), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1215), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1217), 1, + aux_sym_TRUE_token1, + ACTIONS(1219), 1, + aux_sym_FALSE_token1, + ACTIONS(1221), 1, + aux_sym_number_token1, + ACTIONS(1223), 1, + sym__unquoted_identifier, + ACTIONS(1225), 1, + anon_sym_BQUOTE, + ACTIONS(1227), 1, + anon_sym_DQUOTE, + ACTIONS(1229), 1, + anon_sym_SQUOTE, + ACTIONS(1231), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1235), 1, + anon_sym_DASH, + ACTIONS(1237), 1, + anon_sym_STAR, + ACTIONS(1239), 1, + aux_sym_interval_expression_token1, + ACTIONS(1241), 1, + anon_sym_DOLLAR, + STATE(292), 1, + sym_identifier, + STATE(301), 1, + sym__quoted_identifier, + STATE(445), 1, + sym_argument_reference, + STATE(460), 1, + sym__expression, + STATE(403), 2, + sym_dotted_name, + sym__identifier, + STATE(447), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1233), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(452), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [64260] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1449), 1, + aux_sym_cte_token2, + ACTIONS(1451), 1, + anon_sym_LPAREN, + ACTIONS(1453), 1, + aux_sym_null_hint_token3, + ACTIONS(1455), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1457), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1459), 1, + aux_sym_TRUE_token1, + ACTIONS(1461), 1, + aux_sym_FALSE_token1, + ACTIONS(1463), 1, + aux_sym_number_token1, + ACTIONS(1465), 1, + sym__unquoted_identifier, + ACTIONS(1467), 1, + anon_sym_BQUOTE, + ACTIONS(1469), 1, + anon_sym_DQUOTE, + ACTIONS(1471), 1, + anon_sym_SQUOTE, + ACTIONS(1473), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1477), 1, + anon_sym_DASH, + ACTIONS(1479), 1, + anon_sym_STAR, + ACTIONS(1481), 1, + aux_sym_interval_expression_token1, + ACTIONS(1483), 1, + anon_sym_DOLLAR, + STATE(1088), 1, + sym__quoted_identifier, + STATE(1145), 1, + sym_identifier, + STATE(1375), 1, + sym_argument_reference, + STATE(1550), 1, + sym__expression, + STATE(1304), 2, + sym_dotted_name, + sym__identifier, + STATE(1372), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1475), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1503), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [64363] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1389), 1, + aux_sym_cte_token2, + ACTIONS(1391), 1, + anon_sym_LPAREN, + ACTIONS(1395), 1, + aux_sym_null_hint_token3, + ACTIONS(1397), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1399), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1401), 1, + aux_sym_TRUE_token1, + ACTIONS(1403), 1, + aux_sym_FALSE_token1, + ACTIONS(1405), 1, + aux_sym_number_token1, + ACTIONS(1407), 1, + sym__unquoted_identifier, + ACTIONS(1409), 1, + anon_sym_BQUOTE, + ACTIONS(1411), 1, + anon_sym_DQUOTE, + ACTIONS(1413), 1, + anon_sym_SQUOTE, + ACTIONS(1415), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1419), 1, + anon_sym_DASH, + ACTIONS(1421), 1, + anon_sym_STAR, + ACTIONS(1423), 1, + aux_sym_interval_expression_token1, + ACTIONS(1425), 1, + anon_sym_DOLLAR, + STATE(24), 1, + sym__quoted_identifier, + STATE(37), 1, + sym_identifier, + STATE(144), 1, + sym_argument_reference, + STATE(546), 1, + sym__expression, + STATE(129), 2, + sym_dotted_name, + sym__identifier, + STATE(143), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1417), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(255), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [64466] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1497), 1, + aux_sym_cte_token2, + ACTIONS(1499), 1, + anon_sym_LPAREN, + ACTIONS(1501), 1, + aux_sym_null_hint_token3, + ACTIONS(1503), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1505), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1507), 1, + aux_sym_TRUE_token1, + ACTIONS(1509), 1, + aux_sym_FALSE_token1, + ACTIONS(1511), 1, + aux_sym_number_token1, + ACTIONS(1513), 1, + sym__unquoted_identifier, + ACTIONS(1515), 1, + anon_sym_BQUOTE, + ACTIONS(1517), 1, + anon_sym_DQUOTE, + ACTIONS(1519), 1, + anon_sym_SQUOTE, + ACTIONS(1521), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1525), 1, + anon_sym_DASH, + ACTIONS(1527), 1, + anon_sym_STAR, + ACTIONS(1529), 1, + aux_sym_interval_expression_token1, + ACTIONS(1531), 1, + anon_sym_DOLLAR, + STATE(318), 1, + sym_identifier, + STATE(373), 1, + sym__quoted_identifier, + STATE(518), 1, + sym_argument_reference, + STATE(594), 1, + sym__expression, + STATE(451), 2, + sym_dotted_name, + sym__identifier, + STATE(515), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1523), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(571), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [64569] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1603), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [64672] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1449), 1, + aux_sym_cte_token2, + ACTIONS(1451), 1, + anon_sym_LPAREN, + ACTIONS(1453), 1, + aux_sym_null_hint_token3, + ACTIONS(1455), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1457), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1459), 1, + aux_sym_TRUE_token1, + ACTIONS(1461), 1, + aux_sym_FALSE_token1, + ACTIONS(1463), 1, + aux_sym_number_token1, + ACTIONS(1465), 1, + sym__unquoted_identifier, + ACTIONS(1467), 1, + anon_sym_BQUOTE, + ACTIONS(1469), 1, + anon_sym_DQUOTE, + ACTIONS(1471), 1, + anon_sym_SQUOTE, + ACTIONS(1473), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1477), 1, + anon_sym_DASH, + ACTIONS(1479), 1, + anon_sym_STAR, + ACTIONS(1481), 1, + aux_sym_interval_expression_token1, + ACTIONS(1483), 1, + anon_sym_DOLLAR, + STATE(1088), 1, + sym__quoted_identifier, + STATE(1145), 1, + sym_identifier, + STATE(1375), 1, + sym_argument_reference, + STATE(1548), 1, + sym__expression, + STATE(1304), 2, + sym_dotted_name, + sym__identifier, + STATE(1372), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1475), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1503), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [64775] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1117), 1, + aux_sym_cte_token2, + ACTIONS(1119), 1, + anon_sym_LPAREN, + ACTIONS(1121), 1, + aux_sym_null_hint_token3, + ACTIONS(1123), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1125), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1127), 1, + aux_sym_TRUE_token1, + ACTIONS(1129), 1, + aux_sym_FALSE_token1, + ACTIONS(1131), 1, + aux_sym_number_token1, + ACTIONS(1133), 1, + sym__unquoted_identifier, + ACTIONS(1135), 1, + anon_sym_BQUOTE, + ACTIONS(1137), 1, + anon_sym_DQUOTE, + ACTIONS(1139), 1, + anon_sym_SQUOTE, + ACTIONS(1141), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1145), 1, + anon_sym_DASH, + ACTIONS(1147), 1, + anon_sym_STAR, + ACTIONS(1149), 1, + aux_sym_interval_expression_token1, + ACTIONS(1151), 1, + anon_sym_DOLLAR, + STATE(38), 1, + sym_identifier, + STATE(45), 1, + sym__quoted_identifier, + STATE(199), 1, + sym_argument_reference, + STATE(266), 1, + sym__expression, + STATE(93), 2, + sym_dotted_name, + sym__identifier, + STATE(213), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1143), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(222), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [64878] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1045), 1, + aux_sym_cte_token2, + ACTIONS(1047), 1, + anon_sym_LPAREN, + ACTIONS(1049), 1, + aux_sym_null_hint_token3, + ACTIONS(1051), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1053), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1055), 1, + aux_sym_TRUE_token1, + ACTIONS(1057), 1, + aux_sym_FALSE_token1, + ACTIONS(1059), 1, + aux_sym_number_token1, + ACTIONS(1061), 1, + sym__unquoted_identifier, + ACTIONS(1063), 1, + anon_sym_BQUOTE, + ACTIONS(1065), 1, + anon_sym_DQUOTE, + ACTIONS(1067), 1, + anon_sym_SQUOTE, + ACTIONS(1069), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1073), 1, + anon_sym_DASH, + ACTIONS(1075), 1, + anon_sym_STAR, + ACTIONS(1077), 1, + aux_sym_interval_expression_token1, + ACTIONS(1079), 1, + anon_sym_DOLLAR, + STATE(1131), 1, + sym_identifier, + STATE(1209), 1, + sym__quoted_identifier, + STATE(1402), 1, + sym_argument_reference, + STATE(1454), 1, + sym__expression, + STATE(1289), 2, + sym_dotted_name, + sym__identifier, + STATE(1401), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1071), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1457), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [64981] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1389), 1, + aux_sym_cte_token2, + ACTIONS(1391), 1, + anon_sym_LPAREN, + ACTIONS(1395), 1, + aux_sym_null_hint_token3, + ACTIONS(1397), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1399), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1401), 1, + aux_sym_TRUE_token1, + ACTIONS(1403), 1, + aux_sym_FALSE_token1, + ACTIONS(1405), 1, + aux_sym_number_token1, + ACTIONS(1407), 1, + sym__unquoted_identifier, + ACTIONS(1409), 1, + anon_sym_BQUOTE, + ACTIONS(1411), 1, + anon_sym_DQUOTE, + ACTIONS(1413), 1, + anon_sym_SQUOTE, + ACTIONS(1415), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1419), 1, + anon_sym_DASH, + ACTIONS(1421), 1, + anon_sym_STAR, + ACTIONS(1423), 1, + aux_sym_interval_expression_token1, + ACTIONS(1425), 1, + anon_sym_DOLLAR, + STATE(24), 1, + sym__quoted_identifier, + STATE(37), 1, + sym_identifier, + STATE(144), 1, + sym_argument_reference, + STATE(229), 1, + sym__expression, + STATE(129), 2, + sym_dotted_name, + sym__identifier, + STATE(143), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1417), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(255), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [65084] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(491), 1, + aux_sym_cte_token2, + ACTIONS(493), 1, + anon_sym_LPAREN, + ACTIONS(495), 1, + aux_sym_null_hint_token3, + ACTIONS(497), 1, + aux_sym_select_subexpression_token1, + ACTIONS(499), 1, + aux_sym_conditional_expression_token1, + ACTIONS(501), 1, + aux_sym_TRUE_token1, + ACTIONS(503), 1, + aux_sym_FALSE_token1, + ACTIONS(505), 1, + aux_sym_number_token1, + ACTIONS(507), 1, + sym__unquoted_identifier, + ACTIONS(509), 1, + anon_sym_BQUOTE, + ACTIONS(511), 1, + anon_sym_DQUOTE, + ACTIONS(513), 1, + anon_sym_SQUOTE, + ACTIONS(515), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(519), 1, + anon_sym_DASH, + ACTIONS(521), 1, + anon_sym_STAR, + ACTIONS(523), 1, + aux_sym_interval_expression_token1, + ACTIONS(525), 1, + anon_sym_DOLLAR, + STATE(473), 1, + sym_identifier, + STATE(504), 1, + sym__quoted_identifier, + STATE(961), 1, + sym_argument_reference, + STATE(1004), 1, + sym__expression, + STATE(862), 2, + sym_dotted_name, + sym__identifier, + STATE(962), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(517), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1000), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [65187] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1449), 1, + aux_sym_cte_token2, + ACTIONS(1451), 1, + anon_sym_LPAREN, + ACTIONS(1453), 1, + aux_sym_null_hint_token3, + ACTIONS(1455), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1457), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1459), 1, + aux_sym_TRUE_token1, + ACTIONS(1461), 1, + aux_sym_FALSE_token1, + ACTIONS(1463), 1, + aux_sym_number_token1, + ACTIONS(1465), 1, + sym__unquoted_identifier, + ACTIONS(1467), 1, + anon_sym_BQUOTE, + ACTIONS(1469), 1, + anon_sym_DQUOTE, + ACTIONS(1471), 1, + anon_sym_SQUOTE, + ACTIONS(1473), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1477), 1, + anon_sym_DASH, + ACTIONS(1479), 1, + anon_sym_STAR, + ACTIONS(1481), 1, + aux_sym_interval_expression_token1, + ACTIONS(1483), 1, + anon_sym_DOLLAR, + STATE(1088), 1, + sym__quoted_identifier, + STATE(1145), 1, + sym_identifier, + STATE(1375), 1, + sym_argument_reference, + STATE(1502), 1, + sym__expression, + STATE(1304), 2, + sym_dotted_name, + sym__identifier, + STATE(1372), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1475), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1503), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [65290] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1165), 1, + aux_sym_cte_token2, + ACTIONS(1167), 1, + anon_sym_LPAREN, + ACTIONS(1169), 1, + aux_sym_null_hint_token3, + ACTIONS(1171), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1173), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1175), 1, + aux_sym_TRUE_token1, + ACTIONS(1177), 1, + aux_sym_FALSE_token1, + ACTIONS(1179), 1, + aux_sym_number_token1, + ACTIONS(1181), 1, + sym__unquoted_identifier, + ACTIONS(1183), 1, + anon_sym_BQUOTE, + ACTIONS(1185), 1, + anon_sym_DQUOTE, + ACTIONS(1187), 1, + anon_sym_SQUOTE, + ACTIONS(1189), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1193), 1, + anon_sym_DASH, + ACTIONS(1195), 1, + anon_sym_STAR, + ACTIONS(1197), 1, + aux_sym_interval_expression_token1, + ACTIONS(1199), 1, + anon_sym_DOLLAR, + STATE(514), 1, + sym_identifier, + STATE(549), 1, + sym__quoted_identifier, + STATE(999), 1, + sym_argument_reference, + STATE(1044), 1, + sym__expression, + STATE(979), 2, + sym_dotted_name, + sym__identifier, + STATE(996), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1191), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1065), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [65393] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1651), 1, + aux_sym_cte_token2, + ACTIONS(1653), 1, + anon_sym_LPAREN, + ACTIONS(1655), 1, + aux_sym_null_hint_token3, + ACTIONS(1657), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1659), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1661), 1, + aux_sym_TRUE_token1, + ACTIONS(1663), 1, + aux_sym_FALSE_token1, + ACTIONS(1665), 1, + aux_sym_number_token1, + ACTIONS(1667), 1, + sym__unquoted_identifier, + ACTIONS(1669), 1, + anon_sym_BQUOTE, + ACTIONS(1671), 1, + anon_sym_DQUOTE, + ACTIONS(1673), 1, + anon_sym_SQUOTE, + ACTIONS(1675), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1679), 1, + anon_sym_DASH, + ACTIONS(1681), 1, + anon_sym_STAR, + ACTIONS(1683), 1, + aux_sym_interval_expression_token1, + ACTIONS(1685), 1, + anon_sym_DOLLAR, + STATE(167), 1, + sym_identifier, + STATE(225), 1, + sym__quoted_identifier, + STATE(321), 1, + sym_argument_reference, + STATE(339), 1, + sym__expression, + STATE(305), 2, + sym_dotted_name, + sym__identifier, + STATE(328), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1677), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(344), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [65496] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1207), 1, + aux_sym_cte_token2, + ACTIONS(1209), 1, + anon_sym_LPAREN, + ACTIONS(1211), 1, + aux_sym_null_hint_token3, + ACTIONS(1213), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1215), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1217), 1, + aux_sym_TRUE_token1, + ACTIONS(1219), 1, + aux_sym_FALSE_token1, + ACTIONS(1221), 1, + aux_sym_number_token1, + ACTIONS(1223), 1, + sym__unquoted_identifier, + ACTIONS(1225), 1, + anon_sym_BQUOTE, + ACTIONS(1227), 1, + anon_sym_DQUOTE, + ACTIONS(1229), 1, + anon_sym_SQUOTE, + ACTIONS(1231), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1235), 1, + anon_sym_DASH, + ACTIONS(1237), 1, + anon_sym_STAR, + ACTIONS(1239), 1, + aux_sym_interval_expression_token1, + ACTIONS(1241), 1, + anon_sym_DOLLAR, + STATE(292), 1, + sym_identifier, + STATE(301), 1, + sym__quoted_identifier, + STATE(445), 1, + sym_argument_reference, + STATE(477), 1, + sym__expression, + STATE(403), 2, + sym_dotted_name, + sym__identifier, + STATE(447), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1233), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(452), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [65599] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1207), 1, + aux_sym_cte_token2, + ACTIONS(1209), 1, + anon_sym_LPAREN, + ACTIONS(1211), 1, + aux_sym_null_hint_token3, + ACTIONS(1213), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1215), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1217), 1, + aux_sym_TRUE_token1, + ACTIONS(1219), 1, + aux_sym_FALSE_token1, + ACTIONS(1221), 1, + aux_sym_number_token1, + ACTIONS(1223), 1, + sym__unquoted_identifier, + ACTIONS(1225), 1, + anon_sym_BQUOTE, + ACTIONS(1227), 1, + anon_sym_DQUOTE, + ACTIONS(1229), 1, + anon_sym_SQUOTE, + ACTIONS(1231), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1235), 1, + anon_sym_DASH, + ACTIONS(1237), 1, + anon_sym_STAR, + ACTIONS(1239), 1, + aux_sym_interval_expression_token1, + ACTIONS(1241), 1, + anon_sym_DOLLAR, + STATE(292), 1, + sym_identifier, + STATE(301), 1, + sym__quoted_identifier, + STATE(445), 1, + sym_argument_reference, + STATE(480), 1, + sym__expression, + STATE(403), 2, + sym_dotted_name, + sym__identifier, + STATE(447), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1233), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(452), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [65702] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1567), 1, + aux_sym_cte_token2, + ACTIONS(1569), 1, + anon_sym_LPAREN, + ACTIONS(1571), 1, + aux_sym_null_hint_token3, + ACTIONS(1573), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1575), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1577), 1, + aux_sym_TRUE_token1, + ACTIONS(1579), 1, + aux_sym_FALSE_token1, + ACTIONS(1581), 1, + aux_sym_number_token1, + ACTIONS(1583), 1, + sym__unquoted_identifier, + ACTIONS(1585), 1, + anon_sym_BQUOTE, + ACTIONS(1587), 1, + anon_sym_DQUOTE, + ACTIONS(1589), 1, + anon_sym_SQUOTE, + ACTIONS(1591), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1595), 1, + anon_sym_DASH, + ACTIONS(1597), 1, + anon_sym_STAR, + ACTIONS(1599), 1, + aux_sym_interval_expression_token1, + ACTIONS(1601), 1, + anon_sym_DOLLAR, + STATE(1105), 1, + sym__quoted_identifier, + STATE(1186), 1, + sym_identifier, + STATE(1415), 1, + sym_argument_reference, + STATE(1542), 1, + sym__expression, + STATE(1399), 2, + sym_dotted_name, + sym__identifier, + STATE(1466), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1593), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1511), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [65805] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1497), 1, + aux_sym_cte_token2, + ACTIONS(1499), 1, + anon_sym_LPAREN, + ACTIONS(1501), 1, + aux_sym_null_hint_token3, + ACTIONS(1503), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1505), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1507), 1, + aux_sym_TRUE_token1, + ACTIONS(1509), 1, + aux_sym_FALSE_token1, + ACTIONS(1511), 1, + aux_sym_number_token1, + ACTIONS(1513), 1, + sym__unquoted_identifier, + ACTIONS(1515), 1, + anon_sym_BQUOTE, + ACTIONS(1517), 1, + anon_sym_DQUOTE, + ACTIONS(1519), 1, + anon_sym_SQUOTE, + ACTIONS(1521), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1525), 1, + anon_sym_DASH, + ACTIONS(1527), 1, + anon_sym_STAR, + ACTIONS(1529), 1, + aux_sym_interval_expression_token1, + ACTIONS(1531), 1, + anon_sym_DOLLAR, + STATE(318), 1, + sym_identifier, + STATE(373), 1, + sym__quoted_identifier, + STATE(518), 1, + sym_argument_reference, + STATE(618), 1, + sym__expression, + STATE(451), 2, + sym_dotted_name, + sym__identifier, + STATE(515), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1523), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(571), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [65908] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1615), 1, + aux_sym_cte_token2, + ACTIONS(1617), 1, + anon_sym_LPAREN, + ACTIONS(1619), 1, + aux_sym_null_hint_token3, + ACTIONS(1621), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1623), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1625), 1, + aux_sym_TRUE_token1, + ACTIONS(1627), 1, + aux_sym_FALSE_token1, + ACTIONS(1629), 1, + aux_sym_number_token1, + ACTIONS(1631), 1, + sym__unquoted_identifier, + ACTIONS(1633), 1, + anon_sym_BQUOTE, + ACTIONS(1635), 1, + anon_sym_DQUOTE, + ACTIONS(1637), 1, + anon_sym_SQUOTE, + ACTIONS(1639), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1643), 1, + anon_sym_DASH, + ACTIONS(1645), 1, + anon_sym_STAR, + ACTIONS(1647), 1, + aux_sym_interval_expression_token1, + ACTIONS(1649), 1, + anon_sym_DOLLAR, + STATE(412), 1, + sym_identifier, + STATE(436), 1, + sym__quoted_identifier, + STATE(627), 1, + sym_argument_reference, + STATE(698), 1, + sym__expression, + STATE(607), 2, + sym_dotted_name, + sym__identifier, + STATE(630), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1641), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(697), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [66011] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1081), 1, + aux_sym_cte_token2, + ACTIONS(1083), 1, + anon_sym_LPAREN, + ACTIONS(1085), 1, + aux_sym_null_hint_token3, + ACTIONS(1087), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1089), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1091), 1, + aux_sym_TRUE_token1, + ACTIONS(1093), 1, + aux_sym_FALSE_token1, + ACTIONS(1095), 1, + aux_sym_number_token1, + ACTIONS(1097), 1, + sym__unquoted_identifier, + ACTIONS(1099), 1, + anon_sym_BQUOTE, + ACTIONS(1101), 1, + anon_sym_DQUOTE, + ACTIONS(1103), 1, + anon_sym_SQUOTE, + ACTIONS(1105), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1109), 1, + anon_sym_DASH, + ACTIONS(1111), 1, + anon_sym_STAR, + ACTIONS(1113), 1, + aux_sym_interval_expression_token1, + ACTIONS(1115), 1, + anon_sym_DOLLAR, + STATE(1098), 1, + sym_identifier, + STATE(1112), 1, + sym__quoted_identifier, + STATE(1268), 1, + sym_argument_reference, + STATE(1331), 1, + sym__expression, + STATE(1228), 2, + sym_dotted_name, + sym__identifier, + STATE(1267), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1107), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1334), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [66114] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1332), 1, + aux_sym_cte_token2, + ACTIONS(1334), 1, + anon_sym_LPAREN, + ACTIONS(1336), 1, + aux_sym_null_hint_token3, + ACTIONS(1338), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1340), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1342), 1, + aux_sym_TRUE_token1, + ACTIONS(1344), 1, + aux_sym_FALSE_token1, + ACTIONS(1346), 1, + aux_sym_number_token1, + ACTIONS(1348), 1, + sym__unquoted_identifier, + ACTIONS(1350), 1, + anon_sym_BQUOTE, + ACTIONS(1352), 1, + anon_sym_DQUOTE, + ACTIONS(1354), 1, + anon_sym_SQUOTE, + ACTIONS(1356), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1360), 1, + anon_sym_DASH, + ACTIONS(1362), 1, + anon_sym_STAR, + ACTIONS(1364), 1, + aux_sym_interval_expression_token1, + ACTIONS(1366), 1, + anon_sym_DOLLAR, + STATE(1091), 1, + sym_identifier, + STATE(1110), 1, + sym__quoted_identifier, + STATE(1279), 1, + sym_argument_reference, + STATE(1292), 1, + sym__expression, + STATE(1226), 2, + sym_dotted_name, + sym__identifier, + STATE(1278), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1358), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1295), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [66217] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1722), 1, + aux_sym_cte_token2, + ACTIONS(1724), 1, + anon_sym_LPAREN, + ACTIONS(1726), 1, + aux_sym_null_hint_token3, + ACTIONS(1728), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1730), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1732), 1, + aux_sym_TRUE_token1, + ACTIONS(1734), 1, + aux_sym_FALSE_token1, + ACTIONS(1736), 1, + aux_sym_number_token1, + ACTIONS(1738), 1, + sym__unquoted_identifier, + ACTIONS(1740), 1, + anon_sym_BQUOTE, + ACTIONS(1742), 1, + anon_sym_DQUOTE, + ACTIONS(1744), 1, + anon_sym_SQUOTE, + ACTIONS(1746), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1750), 1, + anon_sym_DASH, + ACTIONS(1752), 1, + anon_sym_STAR, + ACTIONS(1754), 1, + aux_sym_interval_expression_token1, + ACTIONS(1756), 1, + anon_sym_DOLLAR, + STATE(629), 1, + sym__quoted_identifier, + STATE(990), 1, + sym_identifier, + STATE(1135), 1, + sym_argument_reference, + STATE(1197), 1, + sym__expression, + STATE(1117), 2, + sym_dotted_name, + sym__identifier, + STATE(1139), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1748), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(1192), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [66320] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1647), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [66423] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1638), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [66526] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1587), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [66629] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1632), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [66732] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(39), 1, + aux_sym_cte_token2, + ACTIONS(41), 1, + anon_sym_LPAREN, + ACTIONS(43), 1, + aux_sym_null_hint_token3, + ACTIONS(45), 1, + aux_sym_select_subexpression_token1, + ACTIONS(47), 1, + aux_sym_conditional_expression_token1, + ACTIONS(49), 1, + aux_sym_TRUE_token1, + ACTIONS(51), 1, + aux_sym_FALSE_token1, + ACTIONS(53), 1, + aux_sym_number_token1, + ACTIONS(55), 1, + sym__unquoted_identifier, + ACTIONS(57), 1, + anon_sym_BQUOTE, + ACTIONS(59), 1, + anon_sym_DQUOTE, + ACTIONS(61), 1, + anon_sym_SQUOTE, + ACTIONS(63), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(67), 1, + anon_sym_DASH, + ACTIONS(69), 1, + anon_sym_STAR, + ACTIONS(71), 1, + aux_sym_interval_expression_token1, + ACTIONS(73), 1, + anon_sym_DOLLAR, + STATE(14), 1, + sym_identifier, + STATE(33), 1, + sym__quoted_identifier, + STATE(100), 1, + sym_argument_reference, + STATE(150), 1, + sym__expression, + STATE(78), 2, + sym_dotted_name, + sym__identifier, + STATE(99), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(65), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(202), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [66835] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1619), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [66938] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1611), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [67041] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1553), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [67144] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(39), 1, + aux_sym_cte_token2, + ACTIONS(41), 1, + anon_sym_LPAREN, + ACTIONS(43), 1, + aux_sym_null_hint_token3, + ACTIONS(45), 1, + aux_sym_select_subexpression_token1, + ACTIONS(47), 1, + aux_sym_conditional_expression_token1, + ACTIONS(49), 1, + aux_sym_TRUE_token1, + ACTIONS(51), 1, + aux_sym_FALSE_token1, + ACTIONS(53), 1, + aux_sym_number_token1, + ACTIONS(55), 1, + sym__unquoted_identifier, + ACTIONS(57), 1, + anon_sym_BQUOTE, + ACTIONS(59), 1, + anon_sym_DQUOTE, + ACTIONS(61), 1, + anon_sym_SQUOTE, + ACTIONS(63), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(67), 1, + anon_sym_DASH, + ACTIONS(69), 1, + anon_sym_STAR, + ACTIONS(71), 1, + aux_sym_interval_expression_token1, + ACTIONS(73), 1, + anon_sym_DOLLAR, + STATE(14), 1, + sym_identifier, + STATE(33), 1, + sym__quoted_identifier, + STATE(100), 1, + sym_argument_reference, + STATE(145), 1, + sym__expression, + STATE(78), 2, + sym_dotted_name, + sym__identifier, + STATE(99), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(65), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(202), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [67247] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1617), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [67350] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1639), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [67453] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1588), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [67556] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1649), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [67659] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1640), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [67762] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1568), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [67865] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1607), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [67968] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1635), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [68071] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1580), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [68174] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1621), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [68277] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1646), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [68380] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1579), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [68483] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1645), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [68586] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1656), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [68689] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1576), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [68792] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1654), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [68895] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(835), 1, + aux_sym_cte_token2, + ACTIONS(837), 1, + anon_sym_LPAREN, + ACTIONS(839), 1, + aux_sym_null_hint_token3, + ACTIONS(843), 1, + aux_sym_select_subexpression_token1, + ACTIONS(845), 1, + aux_sym_conditional_expression_token1, + ACTIONS(847), 1, + aux_sym_TRUE_token1, + ACTIONS(849), 1, + aux_sym_FALSE_token1, + ACTIONS(851), 1, + aux_sym_number_token1, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(865), 1, + anon_sym_DASH, + ACTIONS(867), 1, + anon_sym_STAR, + ACTIONS(869), 1, + aux_sym_interval_expression_token1, + ACTIONS(871), 1, + anon_sym_DOLLAR, + STATE(287), 1, + sym__quoted_identifier, + STATE(333), 1, + sym_identifier, + STATE(521), 1, + sym_argument_reference, + STATE(1604), 1, + sym__expression, + STATE(457), 2, + sym_dotted_name, + sym__identifier, + STATE(510), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(863), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(529), 17, + sym_select_subexpression, + sym_conditional_expression, + sym_in_expression, + sym_is_expression, + sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [68998] = 26, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1497), 1, + aux_sym_cte_token2, + ACTIONS(1499), 1, + anon_sym_LPAREN, + ACTIONS(1501), 1, + aux_sym_null_hint_token3, + ACTIONS(1503), 1, + aux_sym_select_subexpression_token1, + ACTIONS(1505), 1, + aux_sym_conditional_expression_token1, + ACTIONS(1507), 1, + aux_sym_TRUE_token1, + ACTIONS(1509), 1, + aux_sym_FALSE_token1, + ACTIONS(1511), 1, + aux_sym_number_token1, + ACTIONS(1513), 1, + sym__unquoted_identifier, + ACTIONS(1515), 1, + anon_sym_BQUOTE, + ACTIONS(1517), 1, + anon_sym_DQUOTE, + ACTIONS(1519), 1, + anon_sym_SQUOTE, + ACTIONS(1521), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1525), 1, + anon_sym_DASH, + ACTIONS(1527), 1, + anon_sym_STAR, + ACTIONS(1529), 1, + aux_sym_interval_expression_token1, + ACTIONS(1531), 1, + anon_sym_DOLLAR, + STATE(318), 1, + sym_identifier, + STATE(373), 1, + sym__quoted_identifier, + STATE(518), 1, + sym_argument_reference, + STATE(602), 1, + sym__expression, + STATE(451), 2, + sym_dotted_name, + sym__identifier, + STATE(515), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + ACTIONS(1523), 6, + anon_sym_PLUS, + anon_sym_BANG_BANG, + anon_sym_TILDE, + anon_sym_AT, + anon_sym_PIPE_SLASH, + anon_sym_PIPE_PIPE_SLASH, + STATE(571), 17, sym_select_subexpression, + sym_conditional_expression, sym_in_expression, sym_is_expression, sym_boolean_expression, + sym_at_time_zone_expression, + sym_NULL, + sym_TRUE, + sym_FALSE, + sym_number, + sym_json_access, + sym_type_cast, + sym_array_element_access, + sym_unary_expression, + sym_binary_expression, + sym_asterisk_expression, + sym_interval_expression, + [69101] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1764), 1, + anon_sym_LBRACK, + STATE(964), 1, + aux_sym_array_type_repeat1, + ACTIONS(328), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(330), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [69161] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(459), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(461), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [69217] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(535), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(537), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [69273] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(455), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(457), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [69329] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(406), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(408), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [69385] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(451), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(453), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [69441] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(539), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(541), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [69497] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1001), 1, + anon_sym_LBRACK, + ACTIONS(338), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(340), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [69555] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1758), 1, + anon_sym_COLON_COLON, + ACTIONS(338), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(340), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [69613] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1766), 1, + anon_sym_LBRACK, + STATE(963), 1, + aux_sym_array_type_repeat1, + ACTIONS(81), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(83), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [69673] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1764), 1, + anon_sym_LBRACK, + STATE(963), 1, + aux_sym_array_type_repeat1, + ACTIONS(75), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(77), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [69733] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(81), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(83), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [69789] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(280), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(282), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [69845] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(238), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(242), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [69901] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(291), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(293), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [69957] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(191), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(195), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [70013] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(246), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(248), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [70069] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(209), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(213), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [70125] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(258), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(260), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [70181] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(254), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(256), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [70237] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(250), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(252), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [70293] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(307), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(309), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [70349] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(270), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(272), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [70405] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(284), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(286), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [70461] = 24, + ACTIONS(3), 1, + sym_comment, + ACTIONS(352), 1, + aux_sym_cte_token1, + ACTIONS(368), 1, + sym__unquoted_identifier, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(1769), 1, + aux_sym_cte_token2, + ACTIONS(1771), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1775), 1, + aux_sym_is_expression_token1, + ACTIONS(1777), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1779), 1, + aux_sym_boolean_expression_token2, + ACTIONS(1781), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1793), 1, + anon_sym_CARET, + ACTIONS(1797), 1, + anon_sym_SLASH, + STATE(113), 1, + sym__quoted_identifier, + STATE(1669), 1, + sym_identifier, + ACTIONS(348), 2, + anon_sym_COMMA, + anon_sym_RPAREN, + ACTIONS(1783), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1785), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1787), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1789), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1791), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1795), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(1773), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(350), 9, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + [70559] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1799), 1, + anon_sym_COLON_COLON, + ACTIONS(1801), 1, + anon_sym_DOT_STAR, + ACTIONS(338), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(340), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [70619] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(88), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(90), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [70675] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(270), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(272), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [70730] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(250), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(252), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [70785] = 17, + ACTIONS(3), 1, + sym_comment, + ACTIONS(641), 1, + aux_sym_cte_token2, + ACTIONS(643), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(647), 1, + aux_sym_is_expression_token1, + ACTIONS(649), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(657), 1, + anon_sym_CARET, + ACTIONS(661), 1, + anon_sym_SLASH, + ACTIONS(676), 1, + aux_sym_boolean_expression_token1, + ACTIONS(680), 1, + aux_sym_boolean_expression_token2, + ACTIONS(651), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(653), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(745), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(747), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(655), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(659), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(645), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(1803), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [70868] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(451), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(453), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [70923] = 17, + ACTIONS(3), 1, + sym_comment, + ACTIONS(641), 1, + aux_sym_cte_token2, + ACTIONS(643), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(647), 1, + aux_sym_is_expression_token1, + ACTIONS(649), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(657), 1, + anon_sym_CARET, + ACTIONS(661), 1, + anon_sym_SLASH, + ACTIONS(676), 1, + aux_sym_boolean_expression_token1, + ACTIONS(680), 1, + aux_sym_boolean_expression_token2, + ACTIONS(651), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(653), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(745), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(747), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(655), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(659), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(645), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(1805), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [71006] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(406), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(408), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [71061] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(314), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(316), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [71116] = 17, + ACTIONS(3), 1, + sym_comment, + ACTIONS(641), 1, + aux_sym_cte_token2, + ACTIONS(643), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(647), 1, + aux_sym_is_expression_token1, + ACTIONS(649), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(657), 1, + anon_sym_CARET, + ACTIONS(661), 1, + anon_sym_SLASH, + ACTIONS(676), 1, + aux_sym_boolean_expression_token1, + ACTIONS(680), 1, + aux_sym_boolean_expression_token2, + ACTIONS(651), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(653), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(745), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(747), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(655), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(659), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(645), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(1330), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [71199] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(455), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(457), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [71254] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1321), 1, + anon_sym_DOT, + ACTIONS(1807), 1, + anon_sym_LPAREN, + ACTIONS(1809), 1, + anon_sym_LBRACK, + STATE(611), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(121), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(119), 32, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [71317] = 8, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1811), 1, + aux_sym_with_clause_token1, + ACTIONS(1813), 1, + anon_sym_LPAREN, + ACTIONS(1815), 1, + aux_sym_type_token1, + ACTIONS(1817), 1, + aux_sym_type_token2, + ACTIONS(1819), 1, + aux_sym_type_token3, + ACTIONS(105), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(99), 31, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [71382] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(81), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(83), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [71437] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(88), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(90), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [71492] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(295), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(297), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [71547] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(633), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(635), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [71602] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1799), 1, + anon_sym_COLON_COLON, + ACTIONS(338), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(340), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [71659] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(629), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(631), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [71714] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(625), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(627), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [71769] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1043), 1, + anon_sym_LBRACK, + ACTIONS(338), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(340), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [71826] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(338), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(340), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [71881] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(539), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(541), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [71936] = 17, + ACTIONS(3), 1, + sym_comment, + ACTIONS(641), 1, + aux_sym_cte_token2, + ACTIONS(643), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(647), 1, + aux_sym_is_expression_token1, + ACTIONS(649), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(657), 1, + anon_sym_CARET, + ACTIONS(661), 1, + anon_sym_SLASH, + ACTIONS(676), 1, + aux_sym_boolean_expression_token1, + ACTIONS(680), 1, + aux_sym_boolean_expression_token2, + ACTIONS(651), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(653), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(745), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(747), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(655), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(659), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(645), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(1821), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [72019] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(535), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(537), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [72074] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1699), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(555), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(557), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [72131] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1699), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(559), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(561), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [72188] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(563), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(565), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [72243] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(571), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(573), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [72298] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(307), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(309), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [72353] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(284), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(286), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [72408] = 16, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1687), 1, + aux_sym_cte_token2, + ACTIONS(1689), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1693), 1, + aux_sym_is_expression_token1, + ACTIONS(1695), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1697), 1, + aux_sym_boolean_expression_token2, + ACTIONS(1699), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1711), 1, + anon_sym_CARET, + ACTIONS(1715), 1, + anon_sym_SLASH, + ACTIONS(1705), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1707), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1709), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1713), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(617), 6, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1691), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(619), 14, + aux_sym_cte_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + [72489] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(547), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(549), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [72544] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(459), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(461), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [72599] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(609), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(611), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [72654] = 9, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1699), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1711), 1, + anon_sym_CARET, + ACTIONS(1715), 1, + anon_sym_SLASH, + ACTIONS(1705), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1707), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1713), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(581), 12, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(583), 23, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [72721] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(585), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(587), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [72776] = 15, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1687), 1, + aux_sym_cte_token2, + ACTIONS(1689), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1693), 1, + aux_sym_is_expression_token1, + ACTIONS(1695), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1699), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1711), 1, + anon_sym_CARET, + ACTIONS(1715), 1, + anon_sym_SLASH, + ACTIONS(1705), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1707), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1709), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1713), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(589), 6, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1691), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(591), 15, + aux_sym_cte_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_boolean_expression_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + [72855] = 14, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1687), 1, + aux_sym_cte_token2, + ACTIONS(1689), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1693), 1, + aux_sym_is_expression_token1, + ACTIONS(1699), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1711), 1, + anon_sym_CARET, + ACTIONS(1715), 1, + anon_sym_SLASH, + ACTIONS(1705), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1707), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1709), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1713), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(589), 6, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1691), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(591), 16, + aux_sym_cte_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + [72932] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(593), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(595), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [72987] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(597), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(599), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [73042] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(637), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(639), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [73097] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(551), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(553), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [73152] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1699), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1711), 1, + anon_sym_CARET, + ACTIONS(581), 19, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(583), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [73211] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1699), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(581), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(583), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [73268] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(605), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(607), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [73323] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(601), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(603), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [73378] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(613), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(615), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [73433] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1699), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1711), 1, + anon_sym_CARET, + ACTIONS(1715), 1, + anon_sym_SLASH, + ACTIONS(1713), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(581), 14, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(583), 25, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [73496] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(567), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(569), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [73551] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(543), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(545), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [73606] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(621), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(623), 27, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [73661] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(280), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(282), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [73716] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(238), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(242), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [73771] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(291), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(293), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [73826] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(191), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(195), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [73881] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(246), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(248), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [73936] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(209), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(213), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [73991] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(258), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(260), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [74046] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(254), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(256), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [74101] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1823), 1, + aux_sym_with_clause_token1, + ACTIONS(1825), 1, + anon_sym_LPAREN, + ACTIONS(1827), 1, + aux_sym_type_token2, + ACTIONS(1829), 1, + aux_sym_type_token3, + ACTIONS(149), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(143), 31, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [74163] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(295), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(297), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [74217] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(551), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(553), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [74271] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(637), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(639), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [74325] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1781), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1793), 1, + anon_sym_CARET, + ACTIONS(1797), 1, + anon_sym_SLASH, + ACTIONS(1795), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(581), 14, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(583), 24, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [74387] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1781), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(555), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(557), 25, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [74443] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1781), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(559), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(561), 25, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [74499] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1831), 1, + anon_sym_DOT, + STATE(1046), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(92), 22, + anon_sym_COMMA, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(94), 22, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [74557] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(609), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(611), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [74611] = 16, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1769), 1, + aux_sym_cte_token2, + ACTIONS(1771), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1775), 1, + aux_sym_is_expression_token1, + ACTIONS(1777), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1779), 1, + aux_sym_boolean_expression_token2, + ACTIONS(1781), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1793), 1, + anon_sym_CARET, + ACTIONS(1797), 1, + anon_sym_SLASH, + ACTIONS(1787), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1789), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1791), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1795), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(617), 6, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1773), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(619), 13, + aux_sym_cte_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + [74691] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(621), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(623), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [74745] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1834), 1, + anon_sym_DOT, + STATE(1046), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(113), 22, + anon_sym_COMMA, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(115), 22, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [74803] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(563), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(565), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [74857] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1781), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(581), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(583), 25, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [74913] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(597), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(599), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [74967] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1836), 1, + anon_sym_DOT, + STATE(1058), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(119), 22, + anon_sym_COMMA, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(121), 22, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [75025] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(601), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(603), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [75079] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(625), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(627), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [75133] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(547), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(549), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [75187] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1836), 1, + anon_sym_DOT, + STATE(1070), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(113), 22, + anon_sym_COMMA, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(115), 22, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [75245] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(543), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(545), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [75299] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(613), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(615), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [75353] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(567), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(569), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [75407] = 9, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1781), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1793), 1, + anon_sym_CARET, + ACTIONS(1797), 1, + anon_sym_SLASH, + ACTIONS(1787), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1789), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1795), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(581), 12, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(583), 22, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [75473] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(593), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(595), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [75527] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1834), 1, + anon_sym_DOT, + STATE(1050), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(119), 22, + anon_sym_COMMA, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(121), 22, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [75585] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(338), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(340), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [75639] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(585), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(587), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [75693] = 15, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1769), 1, + aux_sym_cte_token2, + ACTIONS(1771), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1775), 1, + aux_sym_is_expression_token1, + ACTIONS(1777), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1781), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1793), 1, + anon_sym_CARET, + ACTIONS(1797), 1, + anon_sym_SLASH, + ACTIONS(1787), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1789), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1791), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1795), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(589), 6, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1773), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(591), 14, + aux_sym_cte_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_boolean_expression_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + [75771] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(571), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(573), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [75825] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(629), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(631), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [75879] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1838), 1, + anon_sym_DOT, + STATE(1070), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(92), 22, + anon_sym_COMMA, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(94), 22, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [75937] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(633), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(635), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [75991] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(605), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(607), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [76045] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1841), 1, + anon_sym_DOT, + STATE(1073), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(94), 11, + aux_sym_with_clause_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(92), 33, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_LPAREN, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [76103] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1781), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1793), 1, + anon_sym_CARET, + ACTIONS(581), 19, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(583), 25, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [76161] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(314), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(316), 26, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [76215] = 14, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1769), 1, + aux_sym_cte_token2, + ACTIONS(1771), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1775), 1, + aux_sym_is_expression_token1, + ACTIONS(1781), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1793), 1, + anon_sym_CARET, + ACTIONS(1797), 1, + anon_sym_SLASH, + ACTIONS(1787), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1789), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1791), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1795), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(589), 6, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1773), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(591), 15, + aux_sym_cte_token1, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + [76291] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1844), 1, + anon_sym_DOT, + STATE(1073), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(115), 11, + aux_sym_with_clause_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(113), 33, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_LPAREN, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [76349] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(133), 22, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(131), 23, + anon_sym_COMMA, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DOT, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [76402] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1823), 1, + aux_sym_with_clause_token1, + ACTIONS(1825), 1, + anon_sym_LPAREN, + ACTIONS(1829), 1, + aux_sym_type_token3, + ACTIONS(149), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(143), 31, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [76461] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(94), 22, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(92), 23, + anon_sym_COMMA, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DOT, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [76514] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1846), 1, + anon_sym_DOT, + STATE(1083), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(115), 11, + aux_sym_with_clause_token1, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(113), 32, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [76571] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1848), 1, + aux_sym_with_clause_token1, + ACTIONS(1850), 1, + anon_sym_LPAREN, + ACTIONS(1852), 1, + aux_sym_type_token3, + ACTIONS(165), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(159), 31, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [76630] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1854), 1, + anon_sym_DOT, + STATE(1083), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(94), 11, + aux_sym_with_clause_token1, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(92), 32, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [76687] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(133), 22, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(131), 23, + anon_sym_COMMA, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DOT, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [76740] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(157), 22, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(155), 23, + anon_sym_COMMA, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DOT, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [76793] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(94), 12, + aux_sym_with_clause_token1, + aux_sym_boolean_expression_token2, + anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(92), 33, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_LPAREN, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [76846] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(94), 22, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(92), 23, + anon_sym_COMMA, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DOT, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [76899] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(133), 12, + aux_sym_with_clause_token1, + aux_sym_boolean_expression_token2, + anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(131), 33, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_LPAREN, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [76952] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(157), 12, + aux_sym_with_clause_token1, + aux_sym_boolean_expression_token2, + anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(155), 33, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_LPAREN, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [77005] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(157), 22, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(155), 23, + anon_sym_COMMA, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DOT, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [77058] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1857), 1, + anon_sym_LPAREN, + ACTIONS(1859), 1, + anon_sym_DOT, + ACTIONS(1861), 1, + anon_sym_LBRACK, + STATE(1152), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(121), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(119), 22, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [77118] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(157), 12, + aux_sym_with_clause_token1, + aux_sym_create_function_parameter_token1, + anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(155), 32, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [77170] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1863), 1, + anon_sym_DOT, + STATE(1101), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(119), 20, + anon_sym_LPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(121), 22, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [77226] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(94), 12, + aux_sym_with_clause_token1, + aux_sym_create_function_parameter_token1, + anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(92), 32, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [77278] = 8, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1865), 1, + aux_sym_with_clause_token1, + ACTIONS(1867), 1, + anon_sym_LPAREN, + ACTIONS(1869), 1, + aux_sym_type_token1, + ACTIONS(1871), 1, + aux_sym_type_token2, + ACTIONS(1873), 1, + aux_sym_type_token3, + ACTIONS(105), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(99), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [77340] = 8, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1875), 1, + aux_sym_with_clause_token1, + ACTIONS(1877), 1, + anon_sym_LPAREN, + ACTIONS(1879), 1, + aux_sym_type_token1, + ACTIONS(1881), 1, + aux_sym_type_token2, + ACTIONS(1883), 1, + aux_sym_type_token3, + ACTIONS(105), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(99), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [77402] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1885), 1, + aux_sym_with_clause_token1, + ACTIONS(1887), 1, + aux_sym_type_token3, + ACTIONS(195), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(191), 31, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [77458] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1889), 1, + anon_sym_LPAREN, + ACTIONS(1891), 1, + anon_sym_DOT, + ACTIONS(1893), 1, + anon_sym_LBRACK, + STATE(1124), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(121), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(119), 22, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [77518] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1895), 1, + anon_sym_DOT, + STATE(1099), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(92), 20, + anon_sym_LPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(94), 22, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [77574] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1898), 1, + aux_sym_with_clause_token1, + ACTIONS(1900), 1, + aux_sym_type_token3, + ACTIONS(213), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(209), 31, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [77630] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1863), 1, + anon_sym_DOT, + STATE(1099), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(113), 20, + anon_sym_LPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(115), 22, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [77686] = 27, + ACTIONS(3), 1, + sym_comment, + ACTIONS(368), 1, + sym__unquoted_identifier, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(1902), 1, + anon_sym_COMMA, + ACTIONS(1904), 1, + aux_sym_cte_token2, + ACTIONS(1906), 1, + anon_sym_RPAREN, + ACTIONS(1908), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1914), 1, + aux_sym_is_expression_token1, + ACTIONS(1916), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1918), 1, + aux_sym_boolean_expression_token2, + ACTIONS(1920), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1932), 1, + anon_sym_CARET, + ACTIONS(1936), 1, + anon_sym_SLASH, + STATE(113), 1, + sym__quoted_identifier, + STATE(2190), 1, + sym_identifier, + STATE(2250), 1, + sym_op_class, + STATE(2271), 1, + aux_sym_index_table_parameters_repeat1, + ACTIONS(1912), 2, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + ACTIONS(1922), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1924), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1926), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1928), 2, + anon_sym_DASH, + anon_sym_POUND, + STATE(2270), 2, + sym_dotted_name, + sym__identifier, + ACTIONS(1930), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1934), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(1910), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [77786] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1938), 1, + aux_sym_with_clause_token1, + ACTIONS(1940), 1, + aux_sym_type_token3, + ACTIONS(242), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(238), 31, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [77842] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1942), 1, + anon_sym_DOT, + STATE(1077), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(121), 11, + aux_sym_with_clause_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(119), 31, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_LPAREN, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [77898] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(133), 12, + aux_sym_with_clause_token1, + aux_sym_create_function_parameter_token1, + anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(131), 32, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [77950] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(157), 19, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(155), 24, + anon_sym_COMMA, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [78001] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1944), 1, + anon_sym_DOT, + STATE(1081), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(121), 11, + aux_sym_with_clause_token1, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(119), 30, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [78056] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1946), 1, + anon_sym_LBRACK, + STATE(1108), 1, + aux_sym_array_type_repeat1, + ACTIONS(83), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(81), 30, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [78111] = 23, + ACTIONS(3), 1, + sym_comment, + ACTIONS(7), 1, + aux_sym_begin_statement_token1, + ACTIONS(9), 1, + aux_sym_commit_statement_token1, + ACTIONS(11), 1, + aux_sym_rollback_statement_token1, + ACTIONS(13), 1, + aux_sym_with_clause_token1, + ACTIONS(15), 1, + aux_sym_insert_statement_token1, + ACTIONS(17), 1, + aux_sym_create_statement_token1, + ACTIONS(19), 1, + aux_sym_alter_statement_token1, + ACTIONS(21), 1, + aux_sym_alter_table_action_alter_column_token2, + ACTIONS(23), 1, + aux_sym_pg_command_token1, + ACTIONS(25), 1, + aux_sym_drop_statement_token1, + ACTIONS(27), 1, + aux_sym_grant_statement_token1, + ACTIONS(29), 1, + aux_sym_grant_statement_token4, + ACTIONS(31), 1, + aux_sym_grant_statement_token5, + ACTIONS(33), 1, + aux_sym_grant_statement_token6, + ACTIONS(1949), 1, + ts_builtin_sym_end, + STATE(1592), 1, + sym_select_clause, + STATE(1823), 1, + sym__select_statement, + STATE(1826), 1, + sym__update_statement, + STATE(1828), 1, + sym__delete_statement, + STATE(2038), 1, + sym_with_clause, + STATE(1121), 2, + sym__statement, + aux_sym_source_file_repeat1, + STATE(1901), 21, + sym_begin_statement, + sym_commit_statement, + sym_rollback_statement, + sym_select_statement, + sym_insert_statement, + sym_update_statement, + sym_delete_statement, + sym_create_statement, + sym_alter_statement, + sym_pg_command, + sym_create_function_statement, + sym_create_extension_statement, + sym_create_role_statement, + sym_create_schema_statement, + sym_drop_statement, + sym_set_statement, + sym_grant_statement, + sym_create_domain_statement, + sym_create_type_statement, + sym_create_index_statement, + sym_create_table_statement, + [78202] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(133), 19, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(131), 24, + anon_sym_COMMA, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [78253] = 17, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, + aux_sym_boolean_expression_token2, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1243), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(1265), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(743), 12, + anon_sym_COMMA, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + [78332] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(133), 19, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(131), 24, + anon_sym_COMMA, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [78383] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(131), 21, + anon_sym_LPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DOT, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(133), 22, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [78434] = 25, + ACTIONS(3), 1, + sym_comment, + ACTIONS(368), 1, + sym__unquoted_identifier, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(1904), 1, + aux_sym_cte_token2, + ACTIONS(1908), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1914), 1, + aux_sym_is_expression_token1, + ACTIONS(1916), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1918), 1, + aux_sym_boolean_expression_token2, + ACTIONS(1920), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1932), 1, + anon_sym_CARET, + ACTIONS(1936), 1, + anon_sym_SLASH, + STATE(113), 1, + sym__quoted_identifier, + STATE(2190), 1, + sym_identifier, + STATE(2393), 1, + sym_op_class, + ACTIONS(1912), 2, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + ACTIONS(1922), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1924), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1926), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1928), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1955), 2, + anon_sym_COMMA, + anon_sym_RPAREN, + STATE(2270), 2, + sym_dotted_name, + sym__identifier, + ACTIONS(1930), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1934), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(1910), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [78529] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(157), 19, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(155), 24, + anon_sym_COMMA, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [78580] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(155), 21, + anon_sym_LPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DOT, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(157), 22, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [78631] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1957), 1, + anon_sym_COLON_COLON, + ACTIONS(1959), 1, + anon_sym_DOT_STAR, + ACTIONS(340), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(338), 30, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [78686] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1961), 1, + anon_sym_LBRACK, + STATE(1108), 1, + aux_sym_array_type_repeat1, + ACTIONS(77), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(75), 30, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [78741] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1961), 1, + anon_sym_LBRACK, + STATE(1118), 1, + aux_sym_array_type_repeat1, + ACTIONS(330), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(328), 30, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [78796] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(92), 21, + anon_sym_LPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DOT, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(94), 22, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [78847] = 23, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1963), 1, + ts_builtin_sym_end, + ACTIONS(1965), 1, + aux_sym_begin_statement_token1, + ACTIONS(1968), 1, + aux_sym_commit_statement_token1, + ACTIONS(1971), 1, + aux_sym_rollback_statement_token1, + ACTIONS(1974), 1, + aux_sym_with_clause_token1, + ACTIONS(1977), 1, + aux_sym_insert_statement_token1, + ACTIONS(1980), 1, + aux_sym_create_statement_token1, + ACTIONS(1983), 1, + aux_sym_alter_statement_token1, + ACTIONS(1986), 1, + aux_sym_alter_table_action_alter_column_token2, + ACTIONS(1989), 1, + aux_sym_pg_command_token1, + ACTIONS(1992), 1, + aux_sym_drop_statement_token1, + ACTIONS(1995), 1, + aux_sym_grant_statement_token1, + ACTIONS(1998), 1, + aux_sym_grant_statement_token4, + ACTIONS(2001), 1, + aux_sym_grant_statement_token5, + ACTIONS(2004), 1, + aux_sym_grant_statement_token6, + STATE(1592), 1, + sym_select_clause, + STATE(1823), 1, + sym__select_statement, + STATE(1826), 1, + sym__update_statement, + STATE(1828), 1, + sym__delete_statement, + STATE(2038), 1, + sym_with_clause, + STATE(1121), 2, + sym__statement, + aux_sym_source_file_repeat1, + STATE(1901), 21, + sym_begin_statement, + sym_commit_statement, + sym_rollback_statement, + sym_select_statement, + sym_insert_statement, + sym_update_statement, + sym_delete_statement, + sym_create_statement, + sym_alter_statement, + sym_pg_command, + sym_create_function_statement, + sym_create_extension_statement, + sym_create_role_statement, + sym_create_schema_statement, + sym_drop_statement, + sym_set_statement, + sym_grant_statement, + sym_create_domain_statement, + sym_create_type_statement, + sym_create_index_statement, + sym_create_table_statement, + [78938] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2007), 1, + aux_sym_with_clause_token1, + ACTIONS(2009), 1, + anon_sym_LPAREN, + ACTIONS(2011), 1, + aux_sym_type_token2, + ACTIONS(2013), 1, + aux_sym_type_token3, + ACTIONS(149), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(143), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [78997] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2015), 1, + aux_sym_with_clause_token1, + ACTIONS(2017), 1, + anon_sym_LPAREN, + ACTIONS(2019), 1, + aux_sym_type_token2, + ACTIONS(2021), 1, + aux_sym_type_token3, + ACTIONS(149), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(143), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [79056] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1891), 1, + anon_sym_DOT, + STATE(1142), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(115), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(113), 22, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [79110] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(453), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(451), 31, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [79160] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(537), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(535), 31, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [79210] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2015), 1, + aux_sym_with_clause_token1, + ACTIONS(2017), 1, + anon_sym_LPAREN, + ACTIONS(2021), 1, + aux_sym_type_token3, + ACTIONS(149), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(143), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [79266] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(457), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(455), 31, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [79316] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(408), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(406), 31, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [79366] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(94), 2, + aux_sym_with_clause_token1, + aux_sym_sequence_token5, + ACTIONS(92), 40, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_LPAREN, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_sequence_token2, + aux_sym_sequence_token3, + aux_sym_sequence_token8, + aux_sym_sequence_token9, + aux_sym_pg_command_token1, + aux_sym_null_hint_token2, + aux_sym_null_hint_token3, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + anon_sym_DOT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, + [79416] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2023), 1, + anon_sym_LPAREN, + ACTIONS(2025), 1, + anon_sym_DOT, + ACTIONS(2027), 1, + anon_sym_LBRACK, + STATE(1214), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(121), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(119), 20, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [79474] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(286), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(284), 31, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [79524] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(541), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(539), 31, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [79574] = 8, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2029), 1, + aux_sym_with_clause_token1, + ACTIONS(2031), 1, + anon_sym_LPAREN, + ACTIONS(2033), 1, + aux_sym_type_token1, + ACTIONS(2035), 1, + aux_sym_type_token2, + ACTIONS(2037), 1, + aux_sym_type_token3, + ACTIONS(105), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(99), 27, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [79634] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1809), 1, + anon_sym_LBRACK, + ACTIONS(340), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(338), 30, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [79686] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(272), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(270), 31, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [79736] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(133), 2, + aux_sym_with_clause_token1, + aux_sym_sequence_token5, + ACTIONS(131), 40, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + anon_sym_LPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_sequence_token2, + aux_sym_sequence_token3, + aux_sym_sequence_token8, + aux_sym_sequence_token9, + aux_sym_pg_command_token1, + aux_sym_create_function_statement_token3, + aux_sym_optimizer_hint_token1, + aux_sym_optimizer_hint_token2, + aux_sym_optimizer_hint_token3, + aux_sym_parallel_hint_token1, + aux_sym_parallel_hint_token2, + aux_sym_parallel_hint_token3, + aux_sym_parallel_hint_token4, + aux_sym_null_hint_token1, + aux_sym_null_hint_token3, + aux_sym_null_hint_token5, + aux_sym__function_language_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_table_constraint_check_token1, + anon_sym_DOT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, + [79786] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(157), 2, + aux_sym_with_clause_token1, + aux_sym_sequence_token5, + ACTIONS(155), 40, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + anon_sym_LPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_sequence_token2, + aux_sym_sequence_token3, + aux_sym_sequence_token8, + aux_sym_sequence_token9, + aux_sym_pg_command_token1, + aux_sym_create_function_statement_token3, + aux_sym_optimizer_hint_token1, + aux_sym_optimizer_hint_token2, + aux_sym_optimizer_hint_token3, + aux_sym_parallel_hint_token1, + aux_sym_parallel_hint_token2, + aux_sym_parallel_hint_token3, + aux_sym_parallel_hint_token4, + aux_sym_null_hint_token1, + aux_sym_null_hint_token3, + aux_sym_null_hint_token5, + aux_sym__function_language_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_table_constraint_check_token1, + anon_sym_DOT, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, + [79836] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1957), 1, + anon_sym_COLON_COLON, + ACTIONS(340), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(338), 30, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [79888] = 8, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2039), 1, + aux_sym_with_clause_token1, + ACTIONS(2041), 1, + anon_sym_LPAREN, + ACTIONS(2043), 1, + aux_sym_type_token1, + ACTIONS(2045), 1, + aux_sym_type_token2, + ACTIONS(2047), 1, + aux_sym_type_token3, + ACTIONS(105), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(99), 19, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [79948] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(309), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(307), 31, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [79998] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2049), 1, + anon_sym_DOT, + STATE(1142), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(94), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(92), 22, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [80052] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(252), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(250), 31, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [80102] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(461), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(459), 31, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [80152] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1844), 1, + anon_sym_DOT, + ACTIONS(2052), 1, + anon_sym_LPAREN, + ACTIONS(2054), 1, + anon_sym_LBRACK, + STATE(1077), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(121), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(119), 28, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [80210] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(256), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(254), 31, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [80260] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(260), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(258), 31, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [80310] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(213), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(209), 31, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [80360] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2056), 1, + aux_sym_with_clause_token1, + ACTIONS(2058), 1, + anon_sym_LPAREN, + ACTIONS(2060), 1, + aux_sym_type_token3, + ACTIONS(165), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(159), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [80416] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(248), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(246), 31, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [80466] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(195), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(191), 31, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [80516] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1859), 1, + anon_sym_DOT, + STATE(1158), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(115), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(113), 22, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [80570] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2062), 1, + aux_sym_with_clause_token1, + ACTIONS(2064), 1, + anon_sym_LPAREN, + ACTIONS(2066), 1, + aux_sym_type_token3, + ACTIONS(165), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(159), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [80626] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(293), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(291), 31, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [80676] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(83), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(81), 31, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [80726] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2007), 1, + aux_sym_with_clause_token1, + ACTIONS(2009), 1, + anon_sym_LPAREN, + ACTIONS(2013), 1, + aux_sym_type_token3, + ACTIONS(149), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(143), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [80782] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(242), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(238), 31, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [80832] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2068), 1, + anon_sym_DOT, + STATE(1158), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(94), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(92), 22, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [80886] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(282), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(280), 31, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [80936] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(90), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(88), 31, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [80986] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(94), 19, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(92), 22, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [81035] = 15, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2071), 1, + aux_sym_cte_token2, + ACTIONS(2073), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(2077), 1, + aux_sym_is_expression_token1, + ACTIONS(2079), 1, + aux_sym_boolean_expression_token1, + ACTIONS(2081), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(2089), 1, + anon_sym_CARET, + ACTIONS(2093), 1, + anon_sym_SLASH, + ACTIONS(2083), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(2085), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(591), 3, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(2087), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(2091), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(2075), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(589), 12, + anon_sym_RPAREN, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + [81108] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(545), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(543), 30, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [81157] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(549), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(547), 30, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [81206] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(569), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(567), 30, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [81255] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(94), 19, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(92), 22, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [81304] = 8, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2095), 1, + aux_sym_with_clause_token1, + ACTIONS(2097), 1, + anon_sym_LPAREN, + ACTIONS(2099), 1, + aux_sym_type_token1, + ACTIONS(2101), 1, + aux_sym_type_token2, + ACTIONS(2103), 1, + aux_sym_type_token3, + ACTIONS(105), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(99), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [81363] = 17, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2071), 1, + aux_sym_cte_token2, + ACTIONS(2073), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(2077), 1, + aux_sym_is_expression_token1, + ACTIONS(2079), 1, + aux_sym_boolean_expression_token1, + ACTIONS(2081), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(2089), 1, + anon_sym_CARET, + ACTIONS(2093), 1, + anon_sym_SLASH, + ACTIONS(2105), 1, + aux_sym_boolean_expression_token2, + ACTIONS(2083), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(2085), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(2107), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(2109), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(2087), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(2091), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(2075), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(817), 10, + anon_sym_RPAREN, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + [81440] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(316), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(314), 30, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [81489] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(553), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(551), 30, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [81538] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2111), 1, + aux_sym_with_clause_token1, + ACTIONS(2113), 1, + aux_sym_type_token3, + ACTIONS(242), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(238), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [81591] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2081), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(2089), 1, + anon_sym_CARET, + ACTIONS(583), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(581), 28, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [81644] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2115), 1, + aux_sym_with_clause_token1, + ACTIONS(2117), 1, + anon_sym_LPAREN, + ACTIONS(2119), 1, + aux_sym_type_token2, + ACTIONS(2121), 1, + aux_sym_type_token3, + ACTIONS(149), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(143), 19, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [81701] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2081), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(583), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(581), 29, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [81752] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2123), 1, + aux_sym_with_clause_token1, + ACTIONS(2125), 1, + aux_sym_type_token3, + ACTIONS(195), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(191), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [81805] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2127), 1, + aux_sym_with_clause_token1, + ACTIONS(2129), 1, + aux_sym_type_token3, + ACTIONS(195), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(191), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [81858] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(603), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(601), 30, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [81907] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2081), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(2089), 1, + anon_sym_CARET, + ACTIONS(2093), 1, + anon_sym_SLASH, + ACTIONS(2091), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(583), 10, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(581), 23, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [81964] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2131), 1, + aux_sym_with_clause_token1, + ACTIONS(2133), 1, + aux_sym_type_token3, + ACTIONS(213), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(209), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [82017] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2135), 1, + aux_sym_with_clause_token1, + ACTIONS(2137), 1, + aux_sym_type_token3, + ACTIONS(242), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(238), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [82070] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(623), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(621), 30, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [82119] = 16, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2071), 1, + aux_sym_cte_token2, + ACTIONS(2073), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(2077), 1, + aux_sym_is_expression_token1, + ACTIONS(2079), 1, + aux_sym_boolean_expression_token1, + ACTIONS(2081), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(2089), 1, + anon_sym_CARET, + ACTIONS(2093), 1, + anon_sym_SLASH, + ACTIONS(2105), 1, + aux_sym_boolean_expression_token2, + ACTIONS(619), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(2083), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(2085), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(2087), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(2091), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(2075), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(617), 12, + anon_sym_RPAREN, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + [82194] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(611), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(609), 30, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [82243] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(157), 19, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(155), 22, + anon_sym_LPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [82292] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(121), 1, + aux_sym_sequence_token5, + ACTIONS(2139), 1, + anon_sym_DOT, + STATE(1195), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(119), 38, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token1, + aux_sym_cte_token2, + anon_sym_LPAREN, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_add_token1, + aux_sym_alter_table_rename_column_token1, + aux_sym_alter_table_rename_column_token2, + aux_sym_sequence_token2, + aux_sym_sequence_token3, + aux_sym_sequence_token8, + aux_sym_sequence_token9, + aux_sym_pg_command_token1, + aux_sym_null_hint_token2, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_exclude_token1, + aux_sym_table_constraint_exclude_token2, + aux_sym_table_constraint_foreign_key_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_values_clause_token1, + [82345] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1846), 1, + anon_sym_DOT, + ACTIONS(2141), 1, + anon_sym_LPAREN, + ACTIONS(2143), 1, + anon_sym_LBRACK, + STATE(1081), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(121), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(119), 27, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [82402] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(607), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(605), 30, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [82451] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(297), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(295), 30, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [82500] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(635), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(633), 30, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [82549] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(631), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(629), 30, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [82598] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(627), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(625), 30, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [82647] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(340), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(338), 30, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [82696] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2145), 1, + aux_sym_with_clause_token1, + ACTIONS(2147), 1, + aux_sym_type_token3, + ACTIONS(213), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(209), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [82749] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(587), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(585), 30, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [82798] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(115), 1, + aux_sym_sequence_token5, + ACTIONS(2139), 1, + anon_sym_DOT, + STATE(1208), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(113), 38, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token1, + aux_sym_cte_token2, + anon_sym_LPAREN, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_add_token1, + aux_sym_alter_table_rename_column_token1, + aux_sym_alter_table_rename_column_token2, + aux_sym_sequence_token2, + aux_sym_sequence_token3, + aux_sym_sequence_token8, + aux_sym_sequence_token9, + aux_sym_pg_command_token1, + aux_sym_null_hint_token2, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_exclude_token1, + aux_sym_table_constraint_exclude_token2, + aux_sym_table_constraint_foreign_key_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_values_clause_token1, + [82851] = 14, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2071), 1, + aux_sym_cte_token2, + ACTIONS(2073), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(2077), 1, + aux_sym_is_expression_token1, + ACTIONS(2081), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(2089), 1, + anon_sym_CARET, + ACTIONS(2093), 1, + anon_sym_SLASH, + ACTIONS(2083), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(2085), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(591), 3, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(2087), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(2091), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(2075), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(589), 13, + anon_sym_RPAREN, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_boolean_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + [82922] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2081), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(557), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(555), 29, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [82973] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2081), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(561), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(559), 29, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [83024] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(565), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(563), 30, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [83073] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(595), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(593), 30, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [83122] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(573), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(571), 30, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [83171] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(615), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(613), 30, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [83220] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2149), 1, + aux_sym_with_clause_token1, + ACTIONS(2151), 1, + anon_sym_LPAREN, + ACTIONS(2153), 1, + aux_sym_type_token2, + ACTIONS(2155), 1, + aux_sym_type_token3, + ACTIONS(149), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(143), 27, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [83277] = 9, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2081), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(2089), 1, + anon_sym_CARET, + ACTIONS(2093), 1, + anon_sym_SLASH, + ACTIONS(2083), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(2085), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(2091), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(583), 8, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(581), 21, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [83338] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(639), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(637), 30, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [83387] = 17, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2071), 1, + aux_sym_cte_token2, + ACTIONS(2073), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(2077), 1, + aux_sym_is_expression_token1, + ACTIONS(2079), 1, + aux_sym_boolean_expression_token1, + ACTIONS(2081), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(2089), 1, + anon_sym_CARET, + ACTIONS(2093), 1, + anon_sym_SLASH, + ACTIONS(2105), 1, + aux_sym_boolean_expression_token2, + ACTIONS(2083), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(2085), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(2107), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(2109), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(2087), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(2091), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(2075), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(831), 10, + anon_sym_RPAREN, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + [83464] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(599), 11, + aux_sym_create_function_parameter_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(597), 30, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [83513] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(94), 1, + aux_sym_sequence_token5, + ACTIONS(2157), 1, + anon_sym_DOT, + STATE(1208), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(92), 38, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token1, + aux_sym_cte_token2, + anon_sym_LPAREN, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_add_token1, + aux_sym_alter_table_rename_column_token1, + aux_sym_alter_table_rename_column_token2, + aux_sym_sequence_token2, + aux_sym_sequence_token3, + aux_sym_sequence_token8, + aux_sym_sequence_token9, + aux_sym_pg_command_token1, + aux_sym_null_hint_token2, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_exclude_token1, + aux_sym_table_constraint_exclude_token2, + aux_sym_table_constraint_foreign_key_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_values_clause_token1, + [83566] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(133), 19, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(131), 22, + anon_sym_LPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [83615] = 16, + ACTIONS(3), 1, + sym_comment, + ACTIONS(346), 1, + anon_sym_LBRACK, + ACTIONS(2162), 1, + aux_sym_cte_token2, + ACTIONS(2164), 1, + aux_sym_alter_table_action_alter_column_token3, + ACTIONS(2166), 1, + aux_sym_null_hint_token3, + ACTIONS(2168), 1, + aux_sym_grant_statement_token8, + ACTIONS(2170), 1, + aux_sym_auto_increment_constraint_token1, + ACTIONS(2174), 1, + anon_sym_CONSTRAINT, + ACTIONS(2176), 1, + aux_sym_table_constraint_check_token1, + ACTIONS(2178), 1, + aux_sym_table_constraint_unique_token1, + ACTIONS(2180), 1, + aux_sym_table_constraint_primary_key_token1, + STATE(79), 1, + aux_sym_array_type_repeat1, + STATE(1666), 1, sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [18821] = 25, + ACTIONS(2172), 2, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + STATE(1355), 10, + sym_auto_increment_constraint, + sym_direction_constraint, + sym_named_constraint, + sym_column_default, + sym_primary_key_constraint, + sym_references_constraint, + sym_unique_constraint, + sym_null_constraint, + sym_check_constraint, + aux_sym_table_column_repeat1, + ACTIONS(2160), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [83689] = 24, + ACTIONS(3), 1, + sym_comment, + ACTIONS(350), 1, + aux_sym_where_clause_token1, + ACTIONS(352), 1, + aux_sym_cte_token1, + ACTIONS(368), 1, + sym__unquoted_identifier, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(2182), 1, + aux_sym_cte_token2, + ACTIONS(2184), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(2188), 1, + aux_sym_is_expression_token1, + ACTIONS(2190), 1, + aux_sym_boolean_expression_token1, + ACTIONS(2192), 1, + aux_sym_boolean_expression_token2, + ACTIONS(2194), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(2206), 1, + anon_sym_CARET, + ACTIONS(2210), 1, + anon_sym_SLASH, + STATE(113), 1, + sym__quoted_identifier, + STATE(1669), 1, + sym_identifier, + ACTIONS(348), 2, + anon_sym_COMMA, + anon_sym_RPAREN, + ACTIONS(2196), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(2198), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(2200), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(2202), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(2204), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(2208), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(2186), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [83779] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(94), 1, + aux_sym_sequence_token5, + ACTIONS(92), 39, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token1, + aux_sym_cte_token2, + anon_sym_LPAREN, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_add_token1, + aux_sym_alter_table_rename_column_token1, + aux_sym_alter_table_rename_column_token2, + aux_sym_sequence_token2, + aux_sym_sequence_token3, + aux_sym_sequence_token8, + aux_sym_sequence_token9, + aux_sym_pg_command_token1, + aux_sym_null_hint_token2, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_exclude_token1, + aux_sym_table_constraint_exclude_token2, + aux_sym_table_constraint_foreign_key_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_values_clause_token1, + anon_sym_DOT, + [83827] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2149), 1, + aux_sym_with_clause_token1, + ACTIONS(2151), 1, + anon_sym_LPAREN, + ACTIONS(2155), 1, + aux_sym_type_token3, + ACTIONS(149), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(143), 27, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [83881] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2025), 1, + anon_sym_DOT, + STATE(1219), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(115), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(113), 20, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [83933] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2212), 1, + aux_sym_with_clause_token1, + ACTIONS(2214), 1, + anon_sym_LPAREN, + ACTIONS(2216), 1, + aux_sym_type_token3, + ACTIONS(165), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(159), 27, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [83987] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2218), 1, + anon_sym_LBRACK, + STATE(1218), 1, + aux_sym_array_type_repeat1, + ACTIONS(77), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(75), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [84039] = 8, + ACTIONS(3), 1, + sym_comment, + ACTIONS(105), 1, + aux_sym_sequence_token5, + ACTIONS(2220), 1, + aux_sym_with_clause_token1, + ACTIONS(2222), 1, + anon_sym_LPAREN, + ACTIONS(2224), 1, + aux_sym_type_token1, + ACTIONS(2226), 1, + aux_sym_type_token2, + ACTIONS(2228), 1, + aux_sym_type_token3, + ACTIONS(99), 34, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_sequence_token2, + aux_sym_sequence_token3, + aux_sym_sequence_token8, + aux_sym_sequence_token9, + aux_sym_pg_command_token1, + aux_sym_null_hint_token3, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + anon_sym_LBRACK, + [84097] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2230), 1, + anon_sym_LBRACK, + STATE(1218), 1, + aux_sym_array_type_repeat1, + ACTIONS(83), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(81), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [84149] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2233), 1, + anon_sym_DOT, + STATE(1219), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(94), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(92), 20, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [84201] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2236), 1, + anon_sym_LBRACK, + STATE(1224), 1, + aux_sym_array_type_repeat1, + ACTIONS(77), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(75), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [84253] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2236), 1, + anon_sym_LBRACK, + STATE(1220), 1, + aux_sym_array_type_repeat1, + ACTIONS(330), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(328), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [84305] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2218), 1, + anon_sym_LBRACK, + STATE(1216), 1, + aux_sym_array_type_repeat1, + ACTIONS(330), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(328), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [84357] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2115), 1, + aux_sym_with_clause_token1, + ACTIONS(2117), 1, + anon_sym_LPAREN, + ACTIONS(2121), 1, + aux_sym_type_token3, + ACTIONS(149), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(143), 19, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [84411] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2238), 1, + anon_sym_LBRACK, + STATE(1224), 1, + aux_sym_array_type_repeat1, + ACTIONS(83), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(81), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [84463] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2241), 1, + aux_sym_with_clause_token1, + ACTIONS(2243), 1, + anon_sym_LPAREN, + ACTIONS(2245), 1, + aux_sym_type_token2, + ACTIONS(2247), 1, + aux_sym_type_token3, + ACTIONS(149), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(143), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [84519] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2249), 1, + anon_sym_COLON_COLON, + ACTIONS(2251), 1, + anon_sym_DOT_STAR, + ACTIONS(340), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(338), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [84571] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2253), 1, + aux_sym_with_clause_token1, + ACTIONS(2255), 1, + anon_sym_LPAREN, + ACTIONS(2257), 1, + aux_sym_type_token3, + ACTIONS(165), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(159), 19, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [84625] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2259), 1, + anon_sym_COLON_COLON, + ACTIONS(2261), 1, + anon_sym_DOT_STAR, + ACTIONS(340), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(338), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [84677] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(83), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(81), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [84724] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(408), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(406), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [84771] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(149), 1, + aux_sym_sequence_token5, + ACTIONS(2263), 1, + aux_sym_with_clause_token1, + ACTIONS(2265), 1, + anon_sym_LPAREN, + ACTIONS(2267), 1, + aux_sym_type_token2, + ACTIONS(2269), 1, + aux_sym_type_token3, + ACTIONS(143), 34, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_sequence_token2, + aux_sym_sequence_token3, + aux_sym_sequence_token8, + aux_sym_sequence_token9, + aux_sym_pg_command_token1, + aux_sym_null_hint_token3, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + anon_sym_LBRACK, + [84826] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2271), 1, + aux_sym_with_clause_token1, + ACTIONS(2273), 1, + aux_sym_type_token3, + ACTIONS(242), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(238), 27, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [84877] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(272), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(270), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [84924] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(309), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(307), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [84971] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(252), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(250), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [85018] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(256), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(254), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [85065] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(282), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(280), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [85112] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(260), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(258), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [85159] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(242), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(238), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [85206] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(213), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(209), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [85253] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(293), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(291), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [85300] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(248), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(246), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [85347] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(195), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(191), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [85394] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(248), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(246), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [85441] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(195), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(191), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [85488] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(213), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(209), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [85535] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(260), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(258), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [85582] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(293), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(291), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [85629] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2275), 1, + aux_sym_with_clause_token1, + ACTIONS(2277), 1, + aux_sym_type_token3, + ACTIONS(195), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(191), 27, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [85680] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(256), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(254), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [85727] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(252), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(250), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [85774] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(309), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(307), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [85821] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(272), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(270), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [85868] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(286), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(284), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [85915] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(282), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(280), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [85962] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2279), 1, + aux_sym_with_clause_token1, + ACTIONS(2281), 1, + aux_sym_type_token3, + ACTIONS(213), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(209), 27, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [86013] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(90), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(88), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [86060] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(408), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(406), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [86107] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(461), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(459), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [86154] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2241), 1, + aux_sym_with_clause_token1, + ACTIONS(2243), 1, + anon_sym_LPAREN, + ACTIONS(2247), 1, + aux_sym_type_token3, + ACTIONS(149), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(143), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [86207] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(90), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(88), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [86254] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(286), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(284), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [86301] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2283), 1, + aux_sym_with_clause_token1, + ACTIONS(2285), 1, + anon_sym_LPAREN, + ACTIONS(2287), 1, + aux_sym_type_token3, + ACTIONS(165), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(159), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [86354] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(83), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(81), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [86401] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(537), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(535), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [86448] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2289), 1, + aux_sym_with_clause_token1, + ACTIONS(2291), 1, + aux_sym_type_token3, + ACTIONS(242), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(238), 19, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [86499] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2259), 1, + anon_sym_COLON_COLON, + ACTIONS(340), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(338), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [86548] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1893), 1, + anon_sym_LBRACK, + ACTIONS(340), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(338), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [86597] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(94), 19, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(92), 20, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + anon_sym_DOT_STAR, + [86644] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(541), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(539), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [86691] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2293), 1, + aux_sym_with_clause_token1, + ACTIONS(2295), 1, + aux_sym_type_token3, + ACTIONS(195), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(191), 19, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [86742] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(453), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(451), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [86789] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(457), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(455), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [86836] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2297), 1, + aux_sym_with_clause_token1, + ACTIONS(2299), 1, + aux_sym_type_token3, + ACTIONS(213), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(209), 19, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [86887] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(537), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(535), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [86934] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(461), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(459), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [86981] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(242), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(238), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [87028] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2249), 1, + anon_sym_COLON_COLON, + ACTIONS(340), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(338), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [87077] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1861), 1, + anon_sym_LBRACK, + ACTIONS(340), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(338), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [87126] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(541), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(539), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [87173] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(453), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(451), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [87220] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(457), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(455), 21, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [87267] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(569), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(567), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [87313] = 9, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2194), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(2206), 1, + anon_sym_CARET, + ACTIONS(2210), 1, + anon_sym_SLASH, + ACTIONS(2200), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(2202), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(2208), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(581), 12, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(583), 14, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [87371] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(599), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(597), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [87417] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(639), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(637), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [87463] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(615), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(613), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [87509] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(573), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(571), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [87555] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2301), 1, + anon_sym_COLON_COLON, + ACTIONS(2303), 1, + anon_sym_DOT_STAR, + ACTIONS(338), 18, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(340), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [87605] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(565), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(563), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [87651] = 23, + ACTIONS(3), 1, + sym_comment, + ACTIONS(350), 1, + aux_sym_null_hint_token2, + ACTIONS(352), 1, + aux_sym_cte_token1, + ACTIONS(368), 1, + sym__unquoted_identifier, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(2305), 1, + aux_sym_cte_token2, + ACTIONS(2307), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(2311), 1, + aux_sym_is_expression_token1, + ACTIONS(2313), 1, + aux_sym_boolean_expression_token1, + ACTIONS(2315), 1, + aux_sym_boolean_expression_token2, + ACTIONS(2317), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(2329), 1, + anon_sym_CARET, + ACTIONS(2333), 1, + anon_sym_SLASH, + STATE(113), 1, + sym__quoted_identifier, + STATE(1669), 1, + sym_identifier, + ACTIONS(2319), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(2321), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(2323), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(2325), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(2327), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(2331), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(2309), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [87737] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1920), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(557), 17, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(555), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [87785] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1920), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(561), 17, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(559), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [87833] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(595), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(593), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [87879] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(340), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(338), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [87925] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(627), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(625), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [87971] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(631), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(629), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [88017] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(635), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(633), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [88063] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(297), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(295), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [88109] = 14, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1904), 1, + aux_sym_cte_token2, + ACTIONS(1908), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1914), 1, + aux_sym_is_expression_token1, + ACTIONS(1920), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1932), 1, + anon_sym_CARET, + ACTIONS(1936), 1, + anon_sym_SLASH, + ACTIONS(1926), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1928), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1930), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1934), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(589), 6, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1910), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(591), 7, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + [88177] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(623), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(621), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [88223] = 16, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, + ACTIONS(2182), 1, + aux_sym_cte_token2, + ACTIONS(2184), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(2188), 1, + aux_sym_is_expression_token1, + ACTIONS(2190), 1, + aux_sym_boolean_expression_token1, + ACTIONS(2192), 1, + aux_sym_boolean_expression_token2, + ACTIONS(2194), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(2206), 1, + anon_sym_CARET, + ACTIONS(2210), 1, + anon_sym_SLASH, + ACTIONS(2200), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(2202), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(2204), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(619), 5, + aux_sym_cte_token1, + aux_sym_where_clause_token1, sym__unquoted_identifier, - ACTIONS(479), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(2208), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(617), 6, + anon_sym_COMMA, + anon_sym_RPAREN, anon_sym_BQUOTE, - ACTIONS(481), 1, anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(2186), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [88295] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(611), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - ACTIONS(774), 1, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(609), 20, + anon_sym_COMMA, anon_sym_RPAREN, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(883), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [88341] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2335), 1, + anon_sym_COLON_COLON, + ACTIONS(2337), 1, + anon_sym_DOT_STAR, + ACTIONS(340), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [18919] = 25, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(338), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [88391] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(652), 1, - aux_sym_sequence_token2, - ACTIONS(654), 1, - aux_sym_null_hint_token3, - ACTIONS(656), 1, - anon_sym_LPAREN, - ACTIONS(658), 1, - aux_sym_TRUE_token1, - ACTIONS(660), 1, - aux_sym_FALSE_token1, - ACTIONS(662), 1, - aux_sym_number_token1, - ACTIONS(664), 1, + ACTIONS(607), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(666), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(605), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(668), 1, anon_sym_DQUOTE, - ACTIONS(670), 1, - anon_sym_SQUOTE, - ACTIONS(672), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(676), 1, - anon_sym_DASH, - ACTIONS(678), 1, - anon_sym_STAR, - ACTIONS(680), 1, - aux_sym_interval_expression_token1, - ACTIONS(682), 1, - anon_sym_DOLLAR, - STATE(645), 1, - sym_identifier, - STATE(663), 1, - sym__quoted_identifier, - STATE(665), 1, - sym__expression, - STATE(747), 1, - sym_argument_reference, - STATE(1181), 1, - sym_ordered_expression, - STATE(733), 2, - sym_dotted_name, - sym__identifier, - STATE(748), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(674), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(751), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [19017] = 25, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [88437] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, + ACTIONS(569), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(479), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(567), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(481), 1, anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, - anon_sym_DASH, - ACTIONS(491), 1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - ACTIONS(776), 1, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [88483] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(545), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(543), 20, + anon_sym_COMMA, anon_sym_RPAREN, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(906), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [19115] = 25, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [88529] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, + ACTIONS(549), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(479), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(547), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(481), 1, anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [88575] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2339), 1, + anon_sym_LBRACK, + STATE(1309), 1, + aux_sym_array_type_repeat1, + ACTIONS(81), 18, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(83), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(491), 1, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [88625] = 15, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1904), 1, + aux_sym_cte_token2, + ACTIONS(1908), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1914), 1, + aux_sym_is_expression_token1, + ACTIONS(1916), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1920), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1932), 1, + anon_sym_CARET, + ACTIONS(1936), 1, + anon_sym_SLASH, + ACTIONS(1926), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1928), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1930), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1934), 5, anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - ACTIONS(778), 1, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(589), 6, + anon_sym_COMMA, anon_sym_RPAREN, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(874), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(591), 6, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_boolean_expression_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1910), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [88695] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(553), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [19213] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(551), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [88741] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, + ACTIONS(2194), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(2206), 1, + anon_sym_CARET, + ACTIONS(583), 17, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, sym__unquoted_identifier, - ACTIONS(479), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(581), 19, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(481), 1, anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, - anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(888), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [19308] = 24, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [88791] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(780), 1, - aux_sym_sequence_token2, - ACTIONS(782), 1, - aux_sym_null_hint_token3, - ACTIONS(784), 1, - anon_sym_LPAREN, - ACTIONS(786), 1, - aux_sym_TRUE_token1, - ACTIONS(788), 1, - aux_sym_FALSE_token1, - ACTIONS(790), 1, - aux_sym_number_token1, - ACTIONS(792), 1, + ACTIONS(2194), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(583), 17, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, sym__unquoted_identifier, - ACTIONS(794), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(581), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(796), 1, anon_sym_DQUOTE, - ACTIONS(798), 1, - anon_sym_SQUOTE, - ACTIONS(800), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(804), 1, - anon_sym_DASH, - ACTIONS(806), 1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, anon_sym_STAR, - ACTIONS(808), 1, - aux_sym_interval_expression_token1, - ACTIONS(810), 1, - anon_sym_DOLLAR, - STATE(180), 1, - sym_identifier, - STATE(208), 1, - sym__quoted_identifier, - STATE(477), 1, - sym_argument_reference, - STATE(501), 1, - sym__expression, - STATE(476), 2, - sym_dotted_name, - sym__identifier, - STATE(474), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(802), 6, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [88839] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2194), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(2206), 1, + anon_sym_CARET, + ACTIONS(2210), 1, + anon_sym_SLASH, + ACTIONS(2208), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(581), 14, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(583), 16, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(519), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [19403] = 24, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [88893] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, + ACTIONS(587), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(479), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(585), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(481), 1, anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, - anon_sym_DASH, - ACTIONS(491), 1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(942), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [88939] = 15, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2182), 1, + aux_sym_cte_token2, + ACTIONS(2184), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(2188), 1, + aux_sym_is_expression_token1, + ACTIONS(2190), 1, + aux_sym_boolean_expression_token1, + ACTIONS(2194), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(2206), 1, + anon_sym_CARET, + ACTIONS(2210), 1, + anon_sym_SLASH, + ACTIONS(2200), 2, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_PIPE, + ACTIONS(2202), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(2204), 4, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [19498] = 24, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(2208), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(589), 6, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(591), 6, + aux_sym_cte_token1, + aux_sym_where_clause_token1, + aux_sym_boolean_expression_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(2186), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [89009] = 14, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, + ACTIONS(2182), 1, + aux_sym_cte_token2, + ACTIONS(2184), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(2188), 1, + aux_sym_is_expression_token1, + ACTIONS(2194), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(2206), 1, + anon_sym_CARET, + ACTIONS(2210), 1, + anon_sym_SLASH, + ACTIONS(2200), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(2202), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(2204), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(2208), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(589), 6, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(2186), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(591), 7, + aux_sym_cte_token1, + aux_sym_where_clause_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, sym__unquoted_identifier, - ACTIONS(479), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + [89077] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2342), 1, + anon_sym_LBRACK, + STATE(1309), 1, + aux_sym_array_type_repeat1, + ACTIONS(75), 18, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(481), 1, anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, - anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(899), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(77), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [19593] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [89127] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(780), 1, - aux_sym_sequence_token2, - ACTIONS(782), 1, - aux_sym_null_hint_token3, - ACTIONS(784), 1, - anon_sym_LPAREN, - ACTIONS(786), 1, - aux_sym_TRUE_token1, - ACTIONS(788), 1, - aux_sym_FALSE_token1, - ACTIONS(790), 1, - aux_sym_number_token1, - ACTIONS(792), 1, + ACTIONS(595), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(794), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(593), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(796), 1, anon_sym_DQUOTE, - ACTIONS(798), 1, - anon_sym_SQUOTE, - ACTIONS(800), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(804), 1, - anon_sym_DASH, - ACTIONS(806), 1, - anon_sym_STAR, - ACTIONS(808), 1, - aux_sym_interval_expression_token1, - ACTIONS(810), 1, - anon_sym_DOLLAR, - STATE(180), 1, - sym_identifier, - STATE(208), 1, - sym__quoted_identifier, - STATE(477), 1, - sym_argument_reference, - STATE(553), 1, - sym__expression, - STATE(476), 2, - sym_dotted_name, - sym__identifier, - STATE(474), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(802), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(519), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [19688] = 24, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [89173] = 9, ACTIONS(3), 1, sym_comment, - ACTIONS(684), 1, - aux_sym_sequence_token2, - ACTIONS(686), 1, - aux_sym_null_hint_token3, - ACTIONS(688), 1, - anon_sym_LPAREN, - ACTIONS(690), 1, - aux_sym_TRUE_token1, - ACTIONS(692), 1, - aux_sym_FALSE_token1, - ACTIONS(694), 1, - aux_sym_number_token1, - ACTIONS(696), 1, - sym__unquoted_identifier, - ACTIONS(698), 1, - anon_sym_BQUOTE, - ACTIONS(700), 1, - anon_sym_DQUOTE, - ACTIONS(702), 1, - anon_sym_SQUOTE, - ACTIONS(704), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(708), 1, + ACTIONS(1920), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1932), 1, + anon_sym_CARET, + ACTIONS(1936), 1, + anon_sym_SLASH, + ACTIONS(1926), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1928), 2, anon_sym_DASH, - ACTIONS(710), 1, + anon_sym_POUND, + ACTIONS(1934), 5, anon_sym_STAR, - ACTIONS(712), 1, - aux_sym_interval_expression_token1, - ACTIONS(714), 1, - anon_sym_DOLLAR, - STATE(7), 1, - sym_identifier, - STATE(16), 1, - sym__quoted_identifier, - STATE(55), 1, - sym_argument_reference, - STATE(102), 1, - sym__expression, - STATE(53), 2, - sym_dotted_name, - sym__identifier, - STATE(52), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(706), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(581), 12, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(583), 14, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(137), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [19783] = 24, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [89231] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(652), 1, - aux_sym_sequence_token2, - ACTIONS(654), 1, - aux_sym_null_hint_token3, - ACTIONS(656), 1, - anon_sym_LPAREN, - ACTIONS(658), 1, - aux_sym_TRUE_token1, - ACTIONS(660), 1, - aux_sym_FALSE_token1, - ACTIONS(662), 1, - aux_sym_number_token1, - ACTIONS(664), 1, + ACTIONS(599), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(666), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(597), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(668), 1, anon_sym_DQUOTE, - ACTIONS(670), 1, - anon_sym_SQUOTE, - ACTIONS(672), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(676), 1, - anon_sym_DASH, - ACTIONS(678), 1, - anon_sym_STAR, - ACTIONS(680), 1, - aux_sym_interval_expression_token1, - ACTIONS(682), 1, - anon_sym_DOLLAR, - STATE(645), 1, - sym_identifier, - STATE(663), 1, - sym__quoted_identifier, - STATE(747), 1, - sym_argument_reference, - STATE(757), 1, - sym__expression, - STATE(733), 2, - sym_dotted_name, - sym__identifier, - STATE(748), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(674), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(751), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [19878] = 24, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [89277] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(35), 1, - aux_sym_sequence_token2, - ACTIONS(37), 1, - aux_sym_null_hint_token3, - ACTIONS(39), 1, - anon_sym_LPAREN, - ACTIONS(41), 1, - aux_sym_TRUE_token1, - ACTIONS(43), 1, - aux_sym_FALSE_token1, - ACTIONS(45), 1, - aux_sym_number_token1, - ACTIONS(47), 1, + ACTIONS(587), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(49), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(585), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(51), 1, anon_sym_DQUOTE, - ACTIONS(53), 1, - anon_sym_SQUOTE, - ACTIONS(55), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(59), 1, - anon_sym_DASH, - ACTIONS(61), 1, - anon_sym_STAR, - ACTIONS(63), 1, - aux_sym_interval_expression_token1, - ACTIONS(65), 1, - anon_sym_DOLLAR, - STATE(8), 1, - sym_identifier, - STATE(14), 1, - sym__quoted_identifier, - STATE(68), 1, - sym_argument_reference, - STATE(120), 1, - sym__expression, - STATE(67), 2, - sym_dotted_name, - sym__identifier, - STATE(66), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(57), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(110), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [19973] = 24, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [89323] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(141), 1, - aux_sym_sequence_token2, - ACTIONS(143), 1, - aux_sym_null_hint_token3, - ACTIONS(145), 1, - anon_sym_LPAREN, - ACTIONS(147), 1, - aux_sym_TRUE_token1, - ACTIONS(149), 1, - aux_sym_FALSE_token1, - ACTIONS(151), 1, - aux_sym_number_token1, - ACTIONS(153), 1, + ACTIONS(603), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(155), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(601), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(157), 1, anon_sym_DQUOTE, - ACTIONS(159), 1, - anon_sym_SQUOTE, - ACTIONS(161), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(165), 1, - anon_sym_DASH, - ACTIONS(167), 1, - anon_sym_STAR, - ACTIONS(169), 1, - aux_sym_interval_expression_token1, - ACTIONS(171), 1, - anon_sym_DOLLAR, - STATE(195), 1, - sym_identifier, - STATE(244), 1, - sym__quoted_identifier, - STATE(522), 1, - sym_argument_reference, - STATE(593), 1, - sym__expression, - STATE(524), 2, - sym_dotted_name, - sym__identifier, - STATE(525), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(163), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(603), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [20068] = 24, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [89369] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(141), 1, - aux_sym_sequence_token2, - ACTIONS(143), 1, - aux_sym_null_hint_token3, - ACTIONS(145), 1, - anon_sym_LPAREN, - ACTIONS(147), 1, - aux_sym_TRUE_token1, - ACTIONS(149), 1, - aux_sym_FALSE_token1, - ACTIONS(151), 1, - aux_sym_number_token1, - ACTIONS(153), 1, + ACTIONS(639), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(155), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(637), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(157), 1, anon_sym_DQUOTE, - ACTIONS(159), 1, - anon_sym_SQUOTE, - ACTIONS(161), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(165), 1, - anon_sym_DASH, - ACTIONS(167), 1, - anon_sym_STAR, - ACTIONS(169), 1, - aux_sym_interval_expression_token1, - ACTIONS(171), 1, - anon_sym_DOLLAR, - STATE(195), 1, - sym_identifier, - STATE(244), 1, - sym__quoted_identifier, - STATE(522), 1, - sym_argument_reference, - STATE(595), 1, - sym__expression, - STATE(524), 2, - sym_dotted_name, - sym__identifier, - STATE(525), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(163), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(603), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [20163] = 24, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [89415] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(652), 1, - aux_sym_sequence_token2, - ACTIONS(654), 1, - aux_sym_null_hint_token3, - ACTIONS(656), 1, - anon_sym_LPAREN, - ACTIONS(658), 1, - aux_sym_TRUE_token1, - ACTIONS(660), 1, - aux_sym_FALSE_token1, - ACTIONS(662), 1, - aux_sym_number_token1, - ACTIONS(664), 1, - sym__unquoted_identifier, - ACTIONS(666), 1, + ACTIONS(1920), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1932), 1, + anon_sym_CARET, + ACTIONS(1936), 1, + anon_sym_SLASH, + ACTIONS(1934), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(581), 14, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(668), 1, anon_sym_DQUOTE, - ACTIONS(670), 1, - anon_sym_SQUOTE, - ACTIONS(672), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(676), 1, - anon_sym_DASH, - ACTIONS(678), 1, - anon_sym_STAR, - ACTIONS(680), 1, - aux_sym_interval_expression_token1, - ACTIONS(682), 1, - anon_sym_DOLLAR, - STATE(645), 1, - sym_identifier, - STATE(663), 1, - sym__quoted_identifier, - STATE(747), 1, - sym_argument_reference, - STATE(764), 1, - sym__expression, - STATE(733), 2, - sym_dotted_name, - sym__identifier, - STATE(748), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(674), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(583), 16, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(751), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [20258] = 24, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [89469] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(652), 1, - aux_sym_sequence_token2, - ACTIONS(654), 1, - aux_sym_null_hint_token3, - ACTIONS(656), 1, - anon_sym_LPAREN, - ACTIONS(658), 1, - aux_sym_TRUE_token1, - ACTIONS(660), 1, - aux_sym_FALSE_token1, - ACTIONS(662), 1, - aux_sym_number_token1, - ACTIONS(664), 1, + ACTIONS(615), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(666), 1, - anon_sym_BQUOTE, - ACTIONS(668), 1, - anon_sym_DQUOTE, - ACTIONS(670), 1, - anon_sym_SQUOTE, - ACTIONS(672), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(676), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(678), 1, - anon_sym_STAR, - ACTIONS(680), 1, - aux_sym_interval_expression_token1, - ACTIONS(682), 1, - anon_sym_DOLLAR, - STATE(645), 1, - sym_identifier, - STATE(663), 1, - sym__quoted_identifier, - STATE(747), 1, - sym_argument_reference, - STATE(750), 1, - sym__expression, - STATE(733), 2, - sym_dotted_name, - sym__identifier, - STATE(748), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(674), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(751), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [20353] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(613), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [89515] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(652), 1, - aux_sym_sequence_token2, - ACTIONS(654), 1, - aux_sym_null_hint_token3, - ACTIONS(656), 1, - anon_sym_LPAREN, - ACTIONS(658), 1, - aux_sym_TRUE_token1, - ACTIONS(660), 1, - aux_sym_FALSE_token1, - ACTIONS(662), 1, - aux_sym_number_token1, - ACTIONS(664), 1, + ACTIONS(573), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(666), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(571), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(668), 1, anon_sym_DQUOTE, - ACTIONS(670), 1, - anon_sym_SQUOTE, - ACTIONS(672), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(676), 1, - anon_sym_DASH, - ACTIONS(678), 1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, anon_sym_STAR, - ACTIONS(680), 1, - aux_sym_interval_expression_token1, - ACTIONS(682), 1, - anon_sym_DOLLAR, - STATE(645), 1, - sym_identifier, - STATE(663), 1, - sym__quoted_identifier, - STATE(747), 1, - sym_argument_reference, - STATE(767), 1, - sym__expression, - STATE(733), 2, - sym_dotted_name, - sym__identifier, - STATE(748), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(674), 6, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [89561] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2342), 1, + anon_sym_LBRACK, + STATE(1318), 1, + aux_sym_array_type_repeat1, + ACTIONS(328), 18, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(330), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(751), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [20448] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [89611] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(652), 1, - aux_sym_sequence_token2, - ACTIONS(654), 1, - aux_sym_null_hint_token3, - ACTIONS(656), 1, - anon_sym_LPAREN, - ACTIONS(658), 1, - aux_sym_TRUE_token1, - ACTIONS(660), 1, - aux_sym_FALSE_token1, - ACTIONS(662), 1, - aux_sym_number_token1, - ACTIONS(664), 1, + ACTIONS(565), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(666), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(563), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(668), 1, anon_sym_DQUOTE, - ACTIONS(670), 1, - anon_sym_SQUOTE, - ACTIONS(672), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(676), 1, - anon_sym_DASH, - ACTIONS(678), 1, - anon_sym_STAR, - ACTIONS(680), 1, - aux_sym_interval_expression_token1, - ACTIONS(682), 1, - anon_sym_DOLLAR, - STATE(645), 1, - sym_identifier, - STATE(663), 1, - sym__quoted_identifier, - STATE(747), 1, - sym_argument_reference, - STATE(768), 1, - sym__expression, - STATE(733), 2, - sym_dotted_name, - sym__identifier, - STATE(748), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(674), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(751), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [20543] = 24, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [89657] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(652), 1, - aux_sym_sequence_token2, - ACTIONS(654), 1, - aux_sym_null_hint_token3, - ACTIONS(656), 1, - anon_sym_LPAREN, - ACTIONS(658), 1, - aux_sym_TRUE_token1, - ACTIONS(660), 1, - aux_sym_FALSE_token1, - ACTIONS(662), 1, - aux_sym_number_token1, - ACTIONS(664), 1, + ACTIONS(2194), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(561), 17, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, sym__unquoted_identifier, - ACTIONS(666), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(559), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(668), 1, anon_sym_DQUOTE, - ACTIONS(670), 1, - anon_sym_SQUOTE, - ACTIONS(672), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(676), 1, - anon_sym_DASH, - ACTIONS(678), 1, - anon_sym_STAR, - ACTIONS(680), 1, - aux_sym_interval_expression_token1, - ACTIONS(682), 1, - anon_sym_DOLLAR, - STATE(645), 1, - sym_identifier, - STATE(663), 1, - sym__quoted_identifier, - STATE(747), 1, - sym_argument_reference, - STATE(769), 1, - sym__expression, - STATE(733), 2, - sym_dotted_name, - sym__identifier, - STATE(748), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(674), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(751), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [20638] = 24, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [89705] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, + ACTIONS(2194), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(557), 17, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, sym__unquoted_identifier, - ACTIONS(479), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(555), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(481), 1, anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, - anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(932), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [20733] = 24, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [89753] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(652), 1, - aux_sym_sequence_token2, - ACTIONS(654), 1, - aux_sym_null_hint_token3, - ACTIONS(656), 1, - anon_sym_LPAREN, - ACTIONS(658), 1, - aux_sym_TRUE_token1, - ACTIONS(660), 1, - aux_sym_FALSE_token1, - ACTIONS(662), 1, - aux_sym_number_token1, - ACTIONS(664), 1, + ACTIONS(1920), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(583), 17, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, sym__unquoted_identifier, - ACTIONS(666), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(581), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(668), 1, anon_sym_DQUOTE, - ACTIONS(670), 1, - anon_sym_SQUOTE, - ACTIONS(672), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(676), 1, - anon_sym_DASH, - ACTIONS(678), 1, - anon_sym_STAR, - ACTIONS(680), 1, - aux_sym_interval_expression_token1, - ACTIONS(682), 1, - anon_sym_DOLLAR, - STATE(645), 1, - sym_identifier, - STATE(663), 1, - sym__quoted_identifier, - STATE(747), 1, - sym_argument_reference, - STATE(771), 1, - sym__expression, - STATE(733), 2, - sym_dotted_name, - sym__identifier, - STATE(748), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(674), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(751), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [20828] = 24, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [89801] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, + ACTIONS(1920), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1932), 1, + anon_sym_CARET, + ACTIONS(583), 17, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, sym__unquoted_identifier, - ACTIONS(479), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(581), 19, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(481), 1, anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, - anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(933), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [20923] = 24, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [89851] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(141), 1, - aux_sym_sequence_token2, - ACTIONS(143), 1, - aux_sym_null_hint_token3, - ACTIONS(145), 1, - anon_sym_LPAREN, - ACTIONS(147), 1, - aux_sym_TRUE_token1, - ACTIONS(149), 1, - aux_sym_FALSE_token1, - ACTIONS(151), 1, - aux_sym_number_token1, - ACTIONS(153), 1, + ACTIONS(340), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(155), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(338), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(157), 1, anon_sym_DQUOTE, - ACTIONS(159), 1, - anon_sym_SQUOTE, - ACTIONS(161), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(165), 1, - anon_sym_DASH, - ACTIONS(167), 1, - anon_sym_STAR, - ACTIONS(169), 1, - aux_sym_interval_expression_token1, - ACTIONS(171), 1, - anon_sym_DOLLAR, - STATE(195), 1, - sym_identifier, - STATE(244), 1, - sym__quoted_identifier, - STATE(522), 1, - sym_argument_reference, - STATE(590), 1, - sym__expression, - STATE(524), 2, - sym_dotted_name, - sym__identifier, - STATE(525), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(163), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(603), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [21018] = 24, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [89897] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(812), 1, - aux_sym_sequence_token2, - ACTIONS(814), 1, - aux_sym_null_hint_token3, - ACTIONS(816), 1, - anon_sym_LPAREN, - ACTIONS(818), 1, - aux_sym_TRUE_token1, - ACTIONS(820), 1, - aux_sym_FALSE_token1, - ACTIONS(822), 1, - aux_sym_number_token1, - ACTIONS(824), 1, + ACTIONS(627), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(826), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(625), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(828), 1, anon_sym_DQUOTE, - ACTIONS(830), 1, - anon_sym_SQUOTE, - ACTIONS(832), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(836), 1, - anon_sym_DASH, - ACTIONS(838), 1, - anon_sym_STAR, - ACTIONS(840), 1, - aux_sym_interval_expression_token1, - ACTIONS(842), 1, - anon_sym_DOLLAR, - STATE(669), 1, - sym_identifier, - STATE(683), 1, - sym__quoted_identifier, - STATE(808), 1, - sym_argument_reference, - STATE(830), 1, - sym__expression, - STATE(805), 2, - sym_dotted_name, - sym__identifier, - STATE(800), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(834), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(813), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [21113] = 24, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [89943] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(35), 1, - aux_sym_sequence_token2, - ACTIONS(37), 1, - aux_sym_null_hint_token3, - ACTIONS(39), 1, - anon_sym_LPAREN, - ACTIONS(41), 1, - aux_sym_TRUE_token1, - ACTIONS(43), 1, - aux_sym_FALSE_token1, - ACTIONS(45), 1, - aux_sym_number_token1, - ACTIONS(47), 1, + ACTIONS(631), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(49), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(629), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(51), 1, anon_sym_DQUOTE, - ACTIONS(53), 1, - anon_sym_SQUOTE, - ACTIONS(55), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(59), 1, - anon_sym_DASH, - ACTIONS(61), 1, - anon_sym_STAR, - ACTIONS(63), 1, - aux_sym_interval_expression_token1, - ACTIONS(65), 1, - anon_sym_DOLLAR, - STATE(8), 1, - sym_identifier, - STATE(14), 1, - sym__quoted_identifier, - STATE(68), 1, - sym_argument_reference, - STATE(118), 1, - sym__expression, - STATE(67), 2, - sym_dotted_name, - sym__identifier, - STATE(66), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(57), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(110), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [21208] = 24, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [89989] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(652), 1, - aux_sym_sequence_token2, - ACTIONS(654), 1, - aux_sym_null_hint_token3, - ACTIONS(656), 1, - anon_sym_LPAREN, - ACTIONS(658), 1, - aux_sym_TRUE_token1, - ACTIONS(660), 1, - aux_sym_FALSE_token1, - ACTIONS(662), 1, - aux_sym_number_token1, - ACTIONS(664), 1, + ACTIONS(635), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(666), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(633), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(668), 1, anon_sym_DQUOTE, - ACTIONS(670), 1, - anon_sym_SQUOTE, - ACTIONS(672), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(676), 1, - anon_sym_DASH, - ACTIONS(678), 1, - anon_sym_STAR, - ACTIONS(680), 1, - aux_sym_interval_expression_token1, - ACTIONS(682), 1, - anon_sym_DOLLAR, - STATE(645), 1, - sym_identifier, - STATE(663), 1, - sym__quoted_identifier, - STATE(747), 1, - sym_argument_reference, - STATE(766), 1, - sym__expression, - STATE(733), 2, - sym_dotted_name, - sym__identifier, - STATE(748), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(674), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(751), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [21303] = 24, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [90035] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, + ACTIONS(297), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(479), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(295), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(481), 1, anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, - anon_sym_DASH, - ACTIONS(491), 1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(912), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [90081] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2344), 1, + aux_sym_with_clause_token1, + ACTIONS(2346), 1, + aux_sym_type_token3, + ACTIONS(213), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(209), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [90131] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2348), 1, + aux_sym_with_clause_token1, + ACTIONS(2350), 1, + aux_sym_type_token3, + ACTIONS(195), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [21398] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(191), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [90181] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(844), 1, - aux_sym_sequence_token2, - ACTIONS(846), 1, - aux_sym_null_hint_token3, - ACTIONS(848), 1, - anon_sym_LPAREN, - ACTIONS(850), 1, - aux_sym_TRUE_token1, - ACTIONS(852), 1, - aux_sym_FALSE_token1, - ACTIONS(854), 1, - aux_sym_number_token1, - ACTIONS(856), 1, + ACTIONS(553), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(858), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(551), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(860), 1, anon_sym_DQUOTE, - ACTIONS(862), 1, - anon_sym_SQUOTE, - ACTIONS(864), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(868), 1, - anon_sym_DASH, - ACTIONS(870), 1, - anon_sym_STAR, - ACTIONS(872), 1, - aux_sym_interval_expression_token1, - ACTIONS(874), 1, - anon_sym_DOLLAR, - STATE(520), 1, - sym_identifier, - STATE(607), 1, - sym__quoted_identifier, - STATE(693), 1, - sym_argument_reference, - STATE(710), 1, - sym__expression, - STATE(691), 2, - sym_dotted_name, - sym__identifier, - STATE(692), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(866), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [90227] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2352), 1, + aux_sym_with_clause_token1, + ACTIONS(2354), 1, + aux_sym_type_token3, + ACTIONS(242), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(703), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [21493] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(238), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [90277] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(141), 1, - aux_sym_sequence_token2, - ACTIONS(143), 1, - aux_sym_null_hint_token3, - ACTIONS(145), 1, - anon_sym_LPAREN, - ACTIONS(147), 1, - aux_sym_TRUE_token1, - ACTIONS(149), 1, - aux_sym_FALSE_token1, - ACTIONS(151), 1, - aux_sym_number_token1, - ACTIONS(153), 1, + ACTIONS(549), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(155), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(547), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(157), 1, anon_sym_DQUOTE, - ACTIONS(159), 1, - anon_sym_SQUOTE, - ACTIONS(161), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(165), 1, - anon_sym_DASH, - ACTIONS(167), 1, - anon_sym_STAR, - ACTIONS(169), 1, - aux_sym_interval_expression_token1, - ACTIONS(171), 1, - anon_sym_DOLLAR, - STATE(195), 1, - sym_identifier, - STATE(244), 1, - sym__quoted_identifier, - STATE(522), 1, - sym_argument_reference, - STATE(591), 1, - sym__expression, - STATE(524), 2, - sym_dotted_name, - sym__identifier, - STATE(525), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(163), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(603), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [21588] = 24, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [90323] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(844), 1, - aux_sym_sequence_token2, - ACTIONS(846), 1, - aux_sym_null_hint_token3, - ACTIONS(848), 1, - anon_sym_LPAREN, - ACTIONS(850), 1, - aux_sym_TRUE_token1, - ACTIONS(852), 1, - aux_sym_FALSE_token1, - ACTIONS(854), 1, - aux_sym_number_token1, - ACTIONS(856), 1, + ACTIONS(545), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(858), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(543), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(860), 1, anon_sym_DQUOTE, - ACTIONS(862), 1, - anon_sym_SQUOTE, - ACTIONS(864), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(868), 1, - anon_sym_DASH, - ACTIONS(870), 1, - anon_sym_STAR, - ACTIONS(872), 1, - aux_sym_interval_expression_token1, - ACTIONS(874), 1, - anon_sym_DOLLAR, - STATE(520), 1, - sym_identifier, - STATE(607), 1, - sym__quoted_identifier, - STATE(693), 1, - sym_argument_reference, - STATE(720), 1, - sym__expression, - STATE(691), 2, - sym_dotted_name, - sym__identifier, - STATE(692), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(866), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(703), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [21683] = 24, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [90369] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(141), 1, - aux_sym_sequence_token2, - ACTIONS(143), 1, - aux_sym_null_hint_token3, - ACTIONS(145), 1, - anon_sym_LPAREN, - ACTIONS(147), 1, - aux_sym_TRUE_token1, - ACTIONS(149), 1, - aux_sym_FALSE_token1, - ACTIONS(151), 1, - aux_sym_number_token1, - ACTIONS(153), 1, + ACTIONS(607), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(155), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(605), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(157), 1, anon_sym_DQUOTE, - ACTIONS(159), 1, - anon_sym_SQUOTE, - ACTIONS(161), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(165), 1, - anon_sym_DASH, - ACTIONS(167), 1, - anon_sym_STAR, - ACTIONS(169), 1, - aux_sym_interval_expression_token1, - ACTIONS(171), 1, - anon_sym_DOLLAR, - STATE(195), 1, - sym_identifier, - STATE(244), 1, - sym__quoted_identifier, - STATE(522), 1, - sym_argument_reference, - STATE(601), 1, - sym__expression, - STATE(524), 2, - sym_dotted_name, - sym__identifier, - STATE(525), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(163), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(603), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [21778] = 24, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [90415] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(716), 1, - aux_sym_sequence_token2, - ACTIONS(718), 1, - aux_sym_null_hint_token3, - ACTIONS(720), 1, - anon_sym_LPAREN, - ACTIONS(722), 1, - aux_sym_TRUE_token1, - ACTIONS(724), 1, - aux_sym_FALSE_token1, - ACTIONS(726), 1, - aux_sym_number_token1, - ACTIONS(728), 1, + ACTIONS(316), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(730), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(314), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(732), 1, anon_sym_DQUOTE, - ACTIONS(734), 1, - anon_sym_SQUOTE, - ACTIONS(736), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(740), 1, - anon_sym_DASH, - ACTIONS(742), 1, - anon_sym_STAR, - ACTIONS(744), 1, - aux_sym_interval_expression_token1, - ACTIONS(746), 1, - anon_sym_DOLLAR, - STATE(3), 1, - sym__quoted_identifier, - STATE(175), 1, - sym_identifier, - STATE(452), 1, - sym_argument_reference, - STATE(531), 1, - sym__expression, - STATE(451), 2, - sym_dotted_name, - sym__identifier, - STATE(450), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(738), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(458), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [21873] = 24, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [90461] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(141), 1, - aux_sym_sequence_token2, - ACTIONS(143), 1, - aux_sym_null_hint_token3, - ACTIONS(145), 1, - anon_sym_LPAREN, - ACTIONS(147), 1, - aux_sym_TRUE_token1, - ACTIONS(149), 1, - aux_sym_FALSE_token1, - ACTIONS(151), 1, - aux_sym_number_token1, - ACTIONS(153), 1, - sym__unquoted_identifier, - ACTIONS(155), 1, - anon_sym_BQUOTE, - ACTIONS(157), 1, - anon_sym_DQUOTE, - ACTIONS(159), 1, - anon_sym_SQUOTE, - ACTIONS(161), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(165), 1, + ACTIONS(2356), 1, + anon_sym_LBRACK, + STATE(1347), 1, + aux_sym_array_type_repeat1, + ACTIONS(83), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(167), 1, - anon_sym_STAR, - ACTIONS(169), 1, - aux_sym_interval_expression_token1, - ACTIONS(171), 1, - anon_sym_DOLLAR, - STATE(195), 1, - sym_identifier, - STATE(244), 1, - sym__quoted_identifier, - STATE(522), 1, - sym_argument_reference, - STATE(592), 1, - sym__expression, - STATE(524), 2, - sym_dotted_name, - sym__identifier, - STATE(525), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(163), 6, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(81), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [90511] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2359), 1, + anon_sym_LBRACK, + STATE(1347), 1, + aux_sym_array_type_repeat1, + ACTIONS(77), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(603), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [21968] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(75), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [90561] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(35), 1, - aux_sym_sequence_token2, - ACTIONS(37), 1, - aux_sym_null_hint_token3, - ACTIONS(39), 1, - anon_sym_LPAREN, - ACTIONS(41), 1, - aux_sym_TRUE_token1, - ACTIONS(43), 1, - aux_sym_FALSE_token1, - ACTIONS(45), 1, - aux_sym_number_token1, - ACTIONS(47), 1, + ACTIONS(316), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_where_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(49), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(314), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(51), 1, anon_sym_DQUOTE, - ACTIONS(53), 1, - anon_sym_SQUOTE, - ACTIONS(55), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(59), 1, - anon_sym_DASH, - ACTIONS(61), 1, - anon_sym_STAR, - ACTIONS(63), 1, - aux_sym_interval_expression_token1, - ACTIONS(65), 1, - anon_sym_DOLLAR, - STATE(8), 1, - sym_identifier, - STATE(14), 1, - sym__quoted_identifier, - STATE(68), 1, - sym_argument_reference, - STATE(128), 1, - sym__expression, - STATE(67), 2, - sym_dotted_name, - sym__identifier, - STATE(66), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(57), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(110), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [22063] = 24, - ACTIONS(3), 1, - sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [90607] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(611), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(479), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(609), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(481), 1, anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, - anon_sym_DASH, - ACTIONS(491), 1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(920), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [90653] = 16, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1904), 1, + aux_sym_cte_token2, + ACTIONS(1908), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1914), 1, + aux_sym_is_expression_token1, + ACTIONS(1916), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1918), 1, + aux_sym_boolean_expression_token2, + ACTIONS(1920), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1932), 1, + anon_sym_CARET, + ACTIONS(1936), 1, + anon_sym_SLASH, + ACTIONS(1926), 2, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_PIPE, + ACTIONS(1928), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1930), 4, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [22158] = 24, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(619), 5, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1934), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(617), 6, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1910), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [90725] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, + ACTIONS(623), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(479), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(621), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(481), 1, anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, - anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(943), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [22253] = 24, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [90771] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(844), 1, - aux_sym_sequence_token2, - ACTIONS(846), 1, - aux_sym_null_hint_token3, - ACTIONS(848), 1, - anon_sym_LPAREN, - ACTIONS(850), 1, - aux_sym_TRUE_token1, - ACTIONS(852), 1, - aux_sym_FALSE_token1, - ACTIONS(854), 1, - aux_sym_number_token1, - ACTIONS(856), 1, + ACTIONS(603), 18, + aux_sym_cte_token2, + aux_sym_create_function_parameter_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(858), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(601), 20, + anon_sym_COMMA, + anon_sym_RPAREN, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(860), 1, anon_sym_DQUOTE, - ACTIONS(862), 1, - anon_sym_SQUOTE, - ACTIONS(864), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(868), 1, - anon_sym_DASH, - ACTIONS(870), 1, - anon_sym_STAR, - ACTIONS(872), 1, - aux_sym_interval_expression_token1, - ACTIONS(874), 1, - anon_sym_DOLLAR, - STATE(520), 1, - sym_identifier, - STATE(607), 1, - sym__quoted_identifier, - STATE(693), 1, - sym_argument_reference, - STATE(718), 1, - sym__expression, - STATE(691), 2, - sym_dotted_name, - sym__identifier, - STATE(692), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(866), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [90817] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2359), 1, + anon_sym_LBRACK, + STATE(1348), 1, + aux_sym_array_type_repeat1, + ACTIONS(330), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(703), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(328), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [90867] = 14, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2162), 1, + aux_sym_cte_token2, + ACTIONS(2164), 1, + aux_sym_alter_table_action_alter_column_token3, + ACTIONS(2166), 1, + aux_sym_null_hint_token3, + ACTIONS(2168), 1, + aux_sym_grant_statement_token8, + ACTIONS(2170), 1, + aux_sym_auto_increment_constraint_token1, + ACTIONS(2174), 1, + anon_sym_CONSTRAINT, + ACTIONS(2176), 1, + aux_sym_table_constraint_check_token1, + ACTIONS(2178), 1, + aux_sym_table_constraint_unique_token1, + ACTIONS(2180), 1, + aux_sym_table_constraint_primary_key_token1, + STATE(1666), 1, sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [22348] = 24, + ACTIONS(2172), 2, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + STATE(1356), 10, + sym_auto_increment_constraint, + sym_direction_constraint, + sym_named_constraint, + sym_column_default, + sym_primary_key_constraint, + sym_references_constraint, + sym_unique_constraint, + sym_null_constraint, + sym_check_constraint, + aux_sym_table_column_repeat1, + ACTIONS(2361), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [90935] = 14, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2365), 1, + aux_sym_cte_token2, + ACTIONS(2368), 1, + aux_sym_alter_table_action_alter_column_token3, + ACTIONS(2371), 1, + aux_sym_null_hint_token3, + ACTIONS(2374), 1, + aux_sym_grant_statement_token8, + ACTIONS(2377), 1, + aux_sym_auto_increment_constraint_token1, + ACTIONS(2383), 1, + anon_sym_CONSTRAINT, + ACTIONS(2386), 1, + aux_sym_table_constraint_check_token1, + ACTIONS(2389), 1, + aux_sym_table_constraint_unique_token1, + ACTIONS(2392), 1, + aux_sym_table_constraint_primary_key_token1, + STATE(1666), 1, + sym_NULL, + ACTIONS(2380), 2, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + STATE(1356), 10, + sym_auto_increment_constraint, + sym_direction_constraint, + sym_named_constraint, + sym_column_default, + sym_primary_key_constraint, + sym_references_constraint, + sym_unique_constraint, + sym_null_constraint, + sym_check_constraint, + aux_sym_table_column_repeat1, + ACTIONS(2363), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [91003] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(684), 1, + ACTIONS(149), 1, + aux_sym_sequence_token5, + ACTIONS(2263), 1, + aux_sym_with_clause_token1, + ACTIONS(2265), 1, + anon_sym_LPAREN, + ACTIONS(2269), 1, + aux_sym_type_token3, + ACTIONS(143), 34, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_alter_column_token3, aux_sym_sequence_token2, - ACTIONS(686), 1, + aux_sym_sequence_token3, + aux_sym_sequence_token8, + aux_sym_sequence_token9, + aux_sym_pg_command_token1, aux_sym_null_hint_token3, - ACTIONS(688), 1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + anon_sym_LBRACK, + [91055] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(165), 1, + aux_sym_sequence_token5, + ACTIONS(2395), 1, + aux_sym_with_clause_token1, + ACTIONS(2397), 1, anon_sym_LPAREN, - ACTIONS(690), 1, - aux_sym_TRUE_token1, - ACTIONS(692), 1, - aux_sym_FALSE_token1, - ACTIONS(694), 1, - aux_sym_number_token1, - ACTIONS(696), 1, - sym__unquoted_identifier, - ACTIONS(698), 1, - anon_sym_BQUOTE, - ACTIONS(700), 1, - anon_sym_DQUOTE, - ACTIONS(702), 1, - anon_sym_SQUOTE, - ACTIONS(704), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(708), 1, - anon_sym_DASH, - ACTIONS(710), 1, - anon_sym_STAR, - ACTIONS(712), 1, - aux_sym_interval_expression_token1, - ACTIONS(714), 1, - anon_sym_DOLLAR, - STATE(7), 1, - sym_identifier, - STATE(16), 1, - sym__quoted_identifier, - STATE(55), 1, - sym_argument_reference, - STATE(507), 1, - sym__expression, - STATE(53), 2, - sym_dotted_name, - sym__identifier, - STATE(52), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(706), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(137), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [22443] = 24, + ACTIONS(2399), 1, + aux_sym_type_token3, + ACTIONS(159), 34, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_sequence_token2, + aux_sym_sequence_token3, + aux_sym_sequence_token8, + aux_sym_sequence_token9, + aux_sym_pg_command_token1, + aux_sym_null_hint_token3, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + anon_sym_LBRACK, + [91107] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(844), 1, + ACTIONS(195), 1, + aux_sym_sequence_token5, + ACTIONS(2401), 1, + aux_sym_with_clause_token1, + ACTIONS(2403), 1, + aux_sym_type_token3, + ACTIONS(191), 34, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_alter_column_token3, aux_sym_sequence_token2, - ACTIONS(846), 1, + aux_sym_sequence_token3, + aux_sym_sequence_token8, + aux_sym_sequence_token9, + aux_sym_pg_command_token1, aux_sym_null_hint_token3, - ACTIONS(848), 1, - anon_sym_LPAREN, - ACTIONS(850), 1, - aux_sym_TRUE_token1, - ACTIONS(852), 1, - aux_sym_FALSE_token1, - ACTIONS(854), 1, - aux_sym_number_token1, - ACTIONS(856), 1, - sym__unquoted_identifier, - ACTIONS(858), 1, - anon_sym_BQUOTE, - ACTIONS(860), 1, - anon_sym_DQUOTE, - ACTIONS(862), 1, - anon_sym_SQUOTE, - ACTIONS(864), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(868), 1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + anon_sym_LBRACK, + [91156] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(537), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(870), 1, - anon_sym_STAR, - ACTIONS(872), 1, - aux_sym_interval_expression_token1, - ACTIONS(874), 1, - anon_sym_DOLLAR, - STATE(520), 1, - sym_identifier, - STATE(607), 1, - sym__quoted_identifier, - STATE(693), 1, - sym_argument_reference, - STATE(716), 1, - sym__expression, - STATE(691), 2, - sym_dotted_name, - sym__identifier, - STATE(692), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(866), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(703), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [22538] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(535), 27, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [91201] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(141), 1, - aux_sym_sequence_token2, - ACTIONS(143), 1, - aux_sym_null_hint_token3, - ACTIONS(145), 1, - anon_sym_LPAREN, - ACTIONS(147), 1, - aux_sym_TRUE_token1, - ACTIONS(149), 1, - aux_sym_FALSE_token1, - ACTIONS(151), 1, - aux_sym_number_token1, - ACTIONS(153), 1, - sym__unquoted_identifier, - ACTIONS(155), 1, - anon_sym_BQUOTE, - ACTIONS(157), 1, - anon_sym_DQUOTE, - ACTIONS(159), 1, - anon_sym_SQUOTE, - ACTIONS(161), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(165), 1, + ACTIONS(260), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(167), 1, - anon_sym_STAR, - ACTIONS(169), 1, - aux_sym_interval_expression_token1, - ACTIONS(171), 1, - anon_sym_DOLLAR, - STATE(195), 1, - sym_identifier, - STATE(244), 1, - sym__quoted_identifier, - STATE(522), 1, - sym_argument_reference, - STATE(589), 1, - sym__expression, - STATE(524), 2, - sym_dotted_name, - sym__identifier, - STATE(525), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(163), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(603), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [22633] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(258), 27, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [91246] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(780), 1, - aux_sym_sequence_token2, - ACTIONS(782), 1, - aux_sym_null_hint_token3, - ACTIONS(784), 1, - anon_sym_LPAREN, - ACTIONS(786), 1, - aux_sym_TRUE_token1, - ACTIONS(788), 1, - aux_sym_FALSE_token1, - ACTIONS(790), 1, - aux_sym_number_token1, - ACTIONS(792), 1, - sym__unquoted_identifier, - ACTIONS(794), 1, - anon_sym_BQUOTE, - ACTIONS(796), 1, - anon_sym_DQUOTE, - ACTIONS(798), 1, - anon_sym_SQUOTE, - ACTIONS(800), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(804), 1, + ACTIONS(213), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(806), 1, - anon_sym_STAR, - ACTIONS(808), 1, - aux_sym_interval_expression_token1, - ACTIONS(810), 1, - anon_sym_DOLLAR, - STATE(180), 1, - sym_identifier, - STATE(208), 1, - sym__quoted_identifier, - STATE(477), 1, - sym_argument_reference, - STATE(537), 1, - sym__expression, - STATE(476), 2, - sym_dotted_name, - sym__identifier, - STATE(474), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(802), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(519), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [22728] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(209), 27, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [91291] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(684), 1, - aux_sym_sequence_token2, - ACTIONS(686), 1, - aux_sym_null_hint_token3, - ACTIONS(688), 1, - anon_sym_LPAREN, - ACTIONS(690), 1, - aux_sym_TRUE_token1, - ACTIONS(692), 1, - aux_sym_FALSE_token1, - ACTIONS(694), 1, - aux_sym_number_token1, - ACTIONS(696), 1, - sym__unquoted_identifier, - ACTIONS(698), 1, - anon_sym_BQUOTE, - ACTIONS(700), 1, - anon_sym_DQUOTE, - ACTIONS(702), 1, - anon_sym_SQUOTE, - ACTIONS(704), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(708), 1, + ACTIONS(2405), 1, + anon_sym_LBRACK, + STATE(1363), 1, + aux_sym_array_type_repeat1, + ACTIONS(83), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(710), 1, - anon_sym_STAR, - ACTIONS(712), 1, - aux_sym_interval_expression_token1, - ACTIONS(714), 1, - anon_sym_DOLLAR, - STATE(7), 1, - sym_identifier, - STATE(16), 1, - sym__quoted_identifier, - STATE(55), 1, - sym_argument_reference, - STATE(76), 1, - sym__expression, - STATE(53), 2, - sym_dotted_name, - sym__identifier, - STATE(52), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(706), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(137), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [22823] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(81), 25, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [91340] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(684), 1, - aux_sym_sequence_token2, - ACTIONS(686), 1, - aux_sym_null_hint_token3, - ACTIONS(688), 1, - anon_sym_LPAREN, - ACTIONS(690), 1, - aux_sym_TRUE_token1, - ACTIONS(692), 1, - aux_sym_FALSE_token1, - ACTIONS(694), 1, - aux_sym_number_token1, - ACTIONS(696), 1, - sym__unquoted_identifier, - ACTIONS(698), 1, - anon_sym_BQUOTE, - ACTIONS(700), 1, - anon_sym_DQUOTE, - ACTIONS(702), 1, - anon_sym_SQUOTE, - ACTIONS(704), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(708), 1, + ACTIONS(248), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(710), 1, - anon_sym_STAR, - ACTIONS(712), 1, - aux_sym_interval_expression_token1, - ACTIONS(714), 1, - anon_sym_DOLLAR, - STATE(7), 1, - sym_identifier, - STATE(16), 1, - sym__quoted_identifier, - STATE(55), 1, - sym_argument_reference, - STATE(92), 1, - sym__expression, - STATE(53), 2, - sym_dotted_name, - sym__identifier, - STATE(52), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(706), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(137), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [22918] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(246), 27, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [91385] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(876), 1, - aux_sym_sequence_token2, - ACTIONS(878), 1, - aux_sym_null_hint_token3, - ACTIONS(880), 1, - anon_sym_LPAREN, - ACTIONS(882), 1, - aux_sym_TRUE_token1, - ACTIONS(884), 1, - aux_sym_FALSE_token1, - ACTIONS(886), 1, - aux_sym_number_token1, - ACTIONS(888), 1, - sym__unquoted_identifier, - ACTIONS(890), 1, - anon_sym_BQUOTE, - ACTIONS(892), 1, - anon_sym_DQUOTE, - ACTIONS(894), 1, - anon_sym_SQUOTE, - ACTIONS(896), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(900), 1, + ACTIONS(195), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(902), 1, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(191), 27, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, anon_sym_STAR, - ACTIONS(904), 1, - aux_sym_interval_expression_token1, - ACTIONS(906), 1, - anon_sym_DOLLAR, - STATE(51), 1, - sym_identifier, - STATE(138), 1, - sym__quoted_identifier, - STATE(183), 1, - sym_argument_reference, - STATE(204), 1, - sym__expression, - STATE(178), 2, - sym_dotted_name, - sym__identifier, - STATE(177), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(898), 6, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [91430] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(293), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(291), 27, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [91475] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(242), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(202), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [23013] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(238), 27, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [91520] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(684), 1, - aux_sym_sequence_token2, - ACTIONS(686), 1, - aux_sym_null_hint_token3, - ACTIONS(688), 1, - anon_sym_LPAREN, - ACTIONS(690), 1, - aux_sym_TRUE_token1, - ACTIONS(692), 1, - aux_sym_FALSE_token1, - ACTIONS(694), 1, - aux_sym_number_token1, - ACTIONS(696), 1, + ACTIONS(286), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(698), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(284), 19, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(700), 1, anon_sym_DQUOTE, - ACTIONS(702), 1, - anon_sym_SQUOTE, - ACTIONS(704), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(708), 1, - anon_sym_DASH, - ACTIONS(710), 1, - anon_sym_STAR, - ACTIONS(712), 1, - aux_sym_interval_expression_token1, - ACTIONS(714), 1, - anon_sym_DOLLAR, - STATE(7), 1, - sym_identifier, - STATE(16), 1, - sym__quoted_identifier, - STATE(55), 1, - sym_argument_reference, - STATE(91), 1, - sym__expression, - STATE(53), 2, - sym_dotted_name, - sym__identifier, - STATE(52), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(706), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(137), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [23108] = 24, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [91565] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(684), 1, - aux_sym_sequence_token2, - ACTIONS(686), 1, - aux_sym_null_hint_token3, - ACTIONS(688), 1, - anon_sym_LPAREN, - ACTIONS(690), 1, - aux_sym_TRUE_token1, - ACTIONS(692), 1, - aux_sym_FALSE_token1, - ACTIONS(694), 1, - aux_sym_number_token1, - ACTIONS(696), 1, + ACTIONS(272), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(698), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(270), 19, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(700), 1, anon_sym_DQUOTE, - ACTIONS(702), 1, - anon_sym_SQUOTE, - ACTIONS(704), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(708), 1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [91610] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(282), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(710), 1, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(280), 27, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, anon_sym_STAR, - ACTIONS(712), 1, - aux_sym_interval_expression_token1, - ACTIONS(714), 1, - anon_sym_DOLLAR, - STATE(7), 1, - sym_identifier, - STATE(16), 1, - sym__quoted_identifier, - STATE(55), 1, - sym_argument_reference, - STATE(90), 1, - sym__expression, - STATE(53), 2, - sym_dotted_name, - sym__identifier, - STATE(52), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(706), 6, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [91655] = 19, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, + aux_sym_boolean_expression_token2, + ACTIONS(2408), 1, + anon_sym_COMMA, + STATE(2091), 1, + aux_sym_group_by_clause_body_repeat1, + ACTIONS(1271), 2, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1019), 4, + anon_sym_RPAREN, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_limit_clause_token1, + ACTIONS(1275), 4, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(137), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [23203] = 24, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1243), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(1265), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [91732] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(684), 1, - aux_sym_sequence_token2, - ACTIONS(686), 1, - aux_sym_null_hint_token3, - ACTIONS(688), 1, - anon_sym_LPAREN, - ACTIONS(690), 1, - aux_sym_TRUE_token1, - ACTIONS(692), 1, - aux_sym_FALSE_token1, - ACTIONS(694), 1, - aux_sym_number_token1, - ACTIONS(696), 1, - sym__unquoted_identifier, - ACTIONS(698), 1, - anon_sym_BQUOTE, - ACTIONS(700), 1, - anon_sym_DQUOTE, - ACTIONS(702), 1, - anon_sym_SQUOTE, - ACTIONS(704), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(708), 1, + ACTIONS(2335), 1, + anon_sym_COLON_COLON, + ACTIONS(340), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(710), 1, - anon_sym_STAR, - ACTIONS(712), 1, - aux_sym_interval_expression_token1, - ACTIONS(714), 1, - anon_sym_DOLLAR, - STATE(7), 1, - sym_identifier, - STATE(16), 1, - sym__quoted_identifier, - STATE(55), 1, - sym_argument_reference, - STATE(89), 1, - sym__expression, - STATE(53), 2, - sym_dotted_name, - sym__identifier, - STATE(52), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(706), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(137), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [23298] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(338), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [91779] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(684), 1, - aux_sym_sequence_token2, - ACTIONS(686), 1, - aux_sym_null_hint_token3, - ACTIONS(688), 1, - anon_sym_LPAREN, - ACTIONS(690), 1, - aux_sym_TRUE_token1, - ACTIONS(692), 1, - aux_sym_FALSE_token1, - ACTIONS(694), 1, - aux_sym_number_token1, - ACTIONS(696), 1, + ACTIONS(309), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(698), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(307), 19, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(700), 1, anon_sym_DQUOTE, - ACTIONS(702), 1, - anon_sym_SQUOTE, - ACTIONS(704), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(708), 1, - anon_sym_DASH, - ACTIONS(710), 1, - anon_sym_STAR, - ACTIONS(712), 1, - aux_sym_interval_expression_token1, - ACTIONS(714), 1, - anon_sym_DOLLAR, - STATE(7), 1, - sym_identifier, - STATE(16), 1, - sym__quoted_identifier, - STATE(55), 1, - sym_argument_reference, - STATE(86), 1, - sym__expression, - STATE(53), 2, - sym_dotted_name, - sym__identifier, - STATE(52), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(706), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(137), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [23393] = 24, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [91824] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(844), 1, - aux_sym_sequence_token2, - ACTIONS(846), 1, - aux_sym_null_hint_token3, - ACTIONS(848), 1, - anon_sym_LPAREN, - ACTIONS(850), 1, - aux_sym_TRUE_token1, - ACTIONS(852), 1, - aux_sym_FALSE_token1, - ACTIONS(854), 1, - aux_sym_number_token1, - ACTIONS(856), 1, + ACTIONS(252), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(858), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(250), 19, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(860), 1, anon_sym_DQUOTE, - ACTIONS(862), 1, - anon_sym_SQUOTE, - ACTIONS(864), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(868), 1, - anon_sym_DASH, - ACTIONS(870), 1, - anon_sym_STAR, - ACTIONS(872), 1, - aux_sym_interval_expression_token1, - ACTIONS(874), 1, - anon_sym_DOLLAR, - STATE(520), 1, - sym_identifier, - STATE(607), 1, - sym__quoted_identifier, - STATE(693), 1, - sym_argument_reference, - STATE(714), 1, - sym__expression, - STATE(691), 2, - sym_dotted_name, - sym__identifier, - STATE(692), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(866), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [91869] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2054), 1, + anon_sym_LBRACK, + ACTIONS(340), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(703), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [23488] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(338), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [91916] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(844), 1, - aux_sym_sequence_token2, - ACTIONS(846), 1, - aux_sym_null_hint_token3, - ACTIONS(848), 1, - anon_sym_LPAREN, - ACTIONS(850), 1, - aux_sym_TRUE_token1, - ACTIONS(852), 1, - aux_sym_FALSE_token1, - ACTIONS(854), 1, - aux_sym_number_token1, - ACTIONS(856), 1, + ACTIONS(256), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(858), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(254), 19, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(860), 1, anon_sym_DQUOTE, - ACTIONS(862), 1, - anon_sym_SQUOTE, - ACTIONS(864), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(868), 1, - anon_sym_DASH, - ACTIONS(870), 1, - anon_sym_STAR, - ACTIONS(872), 1, - aux_sym_interval_expression_token1, - ACTIONS(874), 1, - anon_sym_DOLLAR, - STATE(520), 1, - sym_identifier, - STATE(607), 1, - sym__quoted_identifier, - STATE(693), 1, - sym_argument_reference, - STATE(695), 1, - sym__expression, - STATE(691), 2, - sym_dotted_name, - sym__identifier, - STATE(692), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(866), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(703), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [23583] = 24, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [91961] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(844), 1, + ACTIONS(242), 1, + aux_sym_sequence_token5, + ACTIONS(2410), 1, + aux_sym_with_clause_token1, + ACTIONS(2412), 1, + aux_sym_type_token3, + ACTIONS(238), 34, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_alter_column_token3, aux_sym_sequence_token2, - ACTIONS(846), 1, + aux_sym_sequence_token3, + aux_sym_sequence_token8, + aux_sym_sequence_token9, + aux_sym_pg_command_token1, aux_sym_null_hint_token3, - ACTIONS(848), 1, - anon_sym_LPAREN, - ACTIONS(850), 1, - aux_sym_TRUE_token1, - ACTIONS(852), 1, - aux_sym_FALSE_token1, - ACTIONS(854), 1, - aux_sym_number_token1, - ACTIONS(856), 1, - sym__unquoted_identifier, - ACTIONS(858), 1, - anon_sym_BQUOTE, - ACTIONS(860), 1, - anon_sym_DQUOTE, - ACTIONS(862), 1, - anon_sym_SQUOTE, - ACTIONS(864), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(868), 1, - anon_sym_DASH, - ACTIONS(870), 1, - anon_sym_STAR, - ACTIONS(872), 1, - aux_sym_interval_expression_token1, - ACTIONS(874), 1, - anon_sym_DOLLAR, - STATE(520), 1, - sym_identifier, - STATE(607), 1, - sym__quoted_identifier, - STATE(693), 1, - sym_argument_reference, - STATE(711), 1, - sym__expression, - STATE(691), 2, - sym_dotted_name, - sym__identifier, - STATE(692), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(866), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(703), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [23678] = 24, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + anon_sym_LBRACK, + [92010] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, + ACTIONS(213), 1, + aux_sym_sequence_token5, + ACTIONS(2414), 1, + aux_sym_with_clause_token1, + ACTIONS(2416), 1, + aux_sym_type_token3, + ACTIONS(209), 34, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_alter_column_token3, aux_sym_sequence_token2, - ACTIONS(465), 1, + aux_sym_sequence_token3, + aux_sym_sequence_token8, + aux_sym_sequence_token9, + aux_sym_pg_command_token1, aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + anon_sym_LBRACK, + [92059] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(252), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(877), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(250), 27, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [92104] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(541), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [23773] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(539), 27, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [92149] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(35), 1, - aux_sym_sequence_token2, - ACTIONS(37), 1, - aux_sym_null_hint_token3, - ACTIONS(39), 1, - anon_sym_LPAREN, - ACTIONS(41), 1, - aux_sym_TRUE_token1, - ACTIONS(43), 1, - aux_sym_FALSE_token1, - ACTIONS(45), 1, - aux_sym_number_token1, - ACTIONS(47), 1, + ACTIONS(260), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(49), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(258), 19, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(51), 1, anon_sym_DQUOTE, - ACTIONS(53), 1, - anon_sym_SQUOTE, - ACTIONS(55), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(59), 1, - anon_sym_DASH, - ACTIONS(61), 1, - anon_sym_STAR, - ACTIONS(63), 1, - aux_sym_interval_expression_token1, - ACTIONS(65), 1, - anon_sym_DOLLAR, - STATE(8), 1, - sym_identifier, - STATE(14), 1, - sym__quoted_identifier, - STATE(68), 1, - sym_argument_reference, - STATE(124), 1, - sym__expression, - STATE(67), 2, - sym_dotted_name, - sym__identifier, - STATE(66), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(57), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [92194] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(309), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(110), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [23868] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(307), 27, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [92239] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(844), 1, - aux_sym_sequence_token2, - ACTIONS(846), 1, - aux_sym_null_hint_token3, - ACTIONS(848), 1, - anon_sym_LPAREN, - ACTIONS(850), 1, - aux_sym_TRUE_token1, - ACTIONS(852), 1, - aux_sym_FALSE_token1, - ACTIONS(854), 1, - aux_sym_number_token1, - ACTIONS(856), 1, + ACTIONS(213), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(858), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(209), 19, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(860), 1, anon_sym_DQUOTE, - ACTIONS(862), 1, - anon_sym_SQUOTE, - ACTIONS(864), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(868), 1, - anon_sym_DASH, - ACTIONS(870), 1, - anon_sym_STAR, - ACTIONS(872), 1, - aux_sym_interval_expression_token1, - ACTIONS(874), 1, - anon_sym_DOLLAR, - STATE(520), 1, - sym_identifier, - STATE(607), 1, - sym__quoted_identifier, - STATE(693), 1, - sym_argument_reference, - STATE(699), 1, - sym__expression, - STATE(691), 2, - sym_dotted_name, - sym__identifier, - STATE(692), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(866), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(703), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [23963] = 24, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [92284] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(35), 1, - aux_sym_sequence_token2, - ACTIONS(37), 1, - aux_sym_null_hint_token3, - ACTIONS(39), 1, - anon_sym_LPAREN, - ACTIONS(41), 1, - aux_sym_TRUE_token1, - ACTIONS(43), 1, - aux_sym_FALSE_token1, - ACTIONS(45), 1, - aux_sym_number_token1, - ACTIONS(47), 1, + ACTIONS(272), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(270), 27, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [92329] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(248), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(49), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(246), 19, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(51), 1, anon_sym_DQUOTE, - ACTIONS(53), 1, - anon_sym_SQUOTE, - ACTIONS(55), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(59), 1, - anon_sym_DASH, - ACTIONS(61), 1, - anon_sym_STAR, - ACTIONS(63), 1, - aux_sym_interval_expression_token1, - ACTIONS(65), 1, - anon_sym_DOLLAR, - STATE(8), 1, - sym_identifier, - STATE(14), 1, - sym__quoted_identifier, - STATE(68), 1, - sym_argument_reference, - STATE(121), 1, - sym__expression, - STATE(67), 2, - sym_dotted_name, - sym__identifier, - STATE(66), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(57), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(110), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [24058] = 24, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [92374] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(570), 1, - aux_sym_sequence_token2, - ACTIONS(572), 1, - aux_sym_null_hint_token3, - ACTIONS(574), 1, - anon_sym_LPAREN, - ACTIONS(576), 1, - aux_sym_TRUE_token1, - ACTIONS(578), 1, - aux_sym_FALSE_token1, - ACTIONS(580), 1, - aux_sym_number_token1, - ACTIONS(582), 1, + ACTIONS(195), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(584), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(191), 19, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(586), 1, anon_sym_DQUOTE, - ACTIONS(588), 1, - anon_sym_SQUOTE, - ACTIONS(590), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(594), 1, - anon_sym_DASH, - ACTIONS(596), 1, - anon_sym_STAR, - ACTIONS(598), 1, - aux_sym_interval_expression_token1, - ACTIONS(600), 1, - anon_sym_DOLLAR, - STATE(247), 1, - sym_identifier, - STATE(253), 1, - sym__quoted_identifier, - STATE(578), 1, - sym_argument_reference, - STATE(635), 1, - sym__expression, - STATE(579), 2, - sym_dotted_name, - sym__identifier, - STATE(581), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(592), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(652), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [24153] = 24, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [92419] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(780), 1, - aux_sym_sequence_token2, - ACTIONS(782), 1, - aux_sym_null_hint_token3, - ACTIONS(784), 1, - anon_sym_LPAREN, - ACTIONS(786), 1, - aux_sym_TRUE_token1, - ACTIONS(788), 1, - aux_sym_FALSE_token1, - ACTIONS(790), 1, - aux_sym_number_token1, - ACTIONS(792), 1, + ACTIONS(293), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(794), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(291), 19, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(796), 1, anon_sym_DQUOTE, - ACTIONS(798), 1, - anon_sym_SQUOTE, - ACTIONS(800), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(804), 1, - anon_sym_DASH, - ACTIONS(806), 1, - anon_sym_STAR, - ACTIONS(808), 1, - aux_sym_interval_expression_token1, - ACTIONS(810), 1, - anon_sym_DOLLAR, - STATE(180), 1, - sym_identifier, - STATE(208), 1, - sym__quoted_identifier, - STATE(477), 1, - sym_argument_reference, - STATE(536), 1, - sym__expression, - STATE(476), 2, - sym_dotted_name, - sym__identifier, - STATE(474), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(802), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(519), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [24248] = 24, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [92464] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(35), 1, - aux_sym_sequence_token2, - ACTIONS(37), 1, - aux_sym_null_hint_token3, - ACTIONS(39), 1, - anon_sym_LPAREN, - ACTIONS(41), 1, - aux_sym_TRUE_token1, - ACTIONS(43), 1, - aux_sym_FALSE_token1, - ACTIONS(45), 1, - aux_sym_number_token1, - ACTIONS(47), 1, + ACTIONS(242), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(49), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(238), 19, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(51), 1, anon_sym_DQUOTE, - ACTIONS(53), 1, - anon_sym_SQUOTE, - ACTIONS(55), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(59), 1, - anon_sym_DASH, - ACTIONS(61), 1, - anon_sym_STAR, - ACTIONS(63), 1, - aux_sym_interval_expression_token1, - ACTIONS(65), 1, - anon_sym_DOLLAR, - STATE(8), 1, - sym_identifier, - STATE(14), 1, - sym__quoted_identifier, - STATE(68), 1, - sym_argument_reference, - STATE(119), 1, - sym__expression, - STATE(67), 2, - sym_dotted_name, - sym__identifier, - STATE(66), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(57), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(110), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [24343] = 24, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [92509] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, + ACTIONS(282), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(479), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(280), 19, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(481), 1, anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [92554] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(286), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(491), 1, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(284), 27, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(875), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [92599] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(83), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(81), 27, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [92644] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(453), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [24438] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(451), 27, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [92689] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(90), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(88), 27, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [92734] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(141), 1, - aux_sym_sequence_token2, - ACTIONS(143), 1, - aux_sym_null_hint_token3, - ACTIONS(145), 1, - anon_sym_LPAREN, - ACTIONS(147), 1, - aux_sym_TRUE_token1, - ACTIONS(149), 1, - aux_sym_FALSE_token1, - ACTIONS(151), 1, - aux_sym_number_token1, - ACTIONS(153), 1, - sym__unquoted_identifier, - ACTIONS(155), 1, - anon_sym_BQUOTE, - ACTIONS(157), 1, - anon_sym_DQUOTE, - ACTIONS(159), 1, - anon_sym_SQUOTE, - ACTIONS(161), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(165), 1, + ACTIONS(408), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(167), 1, - anon_sym_STAR, - ACTIONS(169), 1, - aux_sym_interval_expression_token1, - ACTIONS(171), 1, - anon_sym_DOLLAR, - STATE(195), 1, - sym_identifier, - STATE(244), 1, - sym__quoted_identifier, - STATE(522), 1, - sym_argument_reference, - STATE(583), 1, - sym__expression, - STATE(524), 2, - sym_dotted_name, - sym__identifier, - STATE(525), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(163), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(603), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [24533] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(406), 27, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [92779] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(620), 1, - aux_sym_sequence_token2, - ACTIONS(622), 1, - aux_sym_null_hint_token3, - ACTIONS(624), 1, - anon_sym_LPAREN, - ACTIONS(626), 1, - aux_sym_TRUE_token1, - ACTIONS(628), 1, - aux_sym_FALSE_token1, - ACTIONS(630), 1, - aux_sym_number_token1, - ACTIONS(632), 1, - sym__unquoted_identifier, - ACTIONS(634), 1, - anon_sym_BQUOTE, - ACTIONS(636), 1, - anon_sym_DQUOTE, - ACTIONS(638), 1, - anon_sym_SQUOTE, - ACTIONS(640), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(644), 1, + ACTIONS(2418), 1, + anon_sym_LBRACK, + STATE(1363), 1, + aux_sym_array_type_repeat1, + ACTIONS(77), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(646), 1, - anon_sym_STAR, - ACTIONS(648), 1, - aux_sym_interval_expression_token1, - ACTIONS(650), 1, - anon_sym_DOLLAR, - STATE(668), 1, - sym_identifier, - STATE(675), 1, - sym__quoted_identifier, - STATE(792), 1, - sym_argument_reference, - STATE(862), 1, - sym__expression, - STATE(785), 2, - sym_dotted_name, - sym__identifier, - STATE(804), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(642), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(864), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [24628] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(75), 25, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [92828] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(684), 1, - aux_sym_sequence_token2, - ACTIONS(686), 1, - aux_sym_null_hint_token3, - ACTIONS(688), 1, + ACTIONS(121), 1, + aux_sym_with_clause_token1, + ACTIONS(2420), 1, + anon_sym_DOT, + STATE(1409), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(119), 34, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, anon_sym_LPAREN, - ACTIONS(690), 1, - aux_sym_TRUE_token1, - ACTIONS(692), 1, - aux_sym_FALSE_token1, - ACTIONS(694), 1, - aux_sym_number_token1, - ACTIONS(696), 1, - sym__unquoted_identifier, - ACTIONS(698), 1, - anon_sym_BQUOTE, - ACTIONS(700), 1, - anon_sym_DQUOTE, - ACTIONS(702), 1, - anon_sym_SQUOTE, - ACTIONS(704), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(708), 1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_create_function_statement_token3, + aux_sym_optimizer_hint_token1, + aux_sym_optimizer_hint_token2, + aux_sym_optimizer_hint_token3, + aux_sym_parallel_hint_token1, + aux_sym_parallel_hint_token2, + aux_sym_parallel_hint_token3, + aux_sym_parallel_hint_token4, + aux_sym_null_hint_token1, + aux_sym_null_hint_token3, + aux_sym_null_hint_token5, + aux_sym__function_language_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, + [92877] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(457), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(710), 1, - anon_sym_STAR, - ACTIONS(712), 1, - aux_sym_interval_expression_token1, - ACTIONS(714), 1, - anon_sym_DOLLAR, - STATE(7), 1, - sym_identifier, - STATE(16), 1, - sym__quoted_identifier, - STATE(55), 1, - sym_argument_reference, - STATE(131), 1, - sym__expression, - STATE(53), 2, - sym_dotted_name, - sym__identifier, - STATE(52), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(706), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(137), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [24723] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(455), 27, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [92922] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, + ACTIONS(2418), 1, + anon_sym_LBRACK, + STATE(1395), 1, + aux_sym_array_type_repeat1, + ACTIONS(330), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(924), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(328), 25, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [92971] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2422), 1, + anon_sym_COLON_COLON, + ACTIONS(2424), 1, + anon_sym_DOT_STAR, + ACTIONS(340), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [24818] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(338), 25, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [93020] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(780), 1, - aux_sym_sequence_token2, - ACTIONS(782), 1, - aux_sym_null_hint_token3, - ACTIONS(784), 1, - anon_sym_LPAREN, - ACTIONS(786), 1, - aux_sym_TRUE_token1, - ACTIONS(788), 1, - aux_sym_FALSE_token1, - ACTIONS(790), 1, - aux_sym_number_token1, - ACTIONS(792), 1, + ACTIONS(83), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(794), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(81), 19, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(796), 1, anon_sym_DQUOTE, - ACTIONS(798), 1, - anon_sym_SQUOTE, - ACTIONS(800), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(804), 1, - anon_sym_DASH, - ACTIONS(806), 1, - anon_sym_STAR, - ACTIONS(808), 1, - aux_sym_interval_expression_token1, - ACTIONS(810), 1, - anon_sym_DOLLAR, - STATE(180), 1, - sym_identifier, - STATE(208), 1, - sym__quoted_identifier, - STATE(477), 1, - sym_argument_reference, - STATE(535), 1, - sym__expression, - STATE(476), 2, - sym_dotted_name, - sym__identifier, - STATE(474), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(802), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(519), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [24913] = 24, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [93065] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, + ACTIONS(2301), 1, + anon_sym_COLON_COLON, + ACTIONS(338), 18, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(481), 1, anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, - anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(938), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(340), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [25008] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [93112] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, + ACTIONS(2027), 1, + anon_sym_LBRACK, + ACTIONS(338), 18, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(481), 1, anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, - anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(895), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(340), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [25103] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [93159] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(620), 1, - aux_sym_sequence_token2, - ACTIONS(622), 1, - aux_sym_null_hint_token3, - ACTIONS(624), 1, - anon_sym_LPAREN, - ACTIONS(626), 1, - aux_sym_TRUE_token1, - ACTIONS(628), 1, - aux_sym_FALSE_token1, - ACTIONS(630), 1, - aux_sym_number_token1, - ACTIONS(632), 1, + ACTIONS(541), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(634), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(539), 19, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(636), 1, anon_sym_DQUOTE, - ACTIONS(638), 1, - anon_sym_SQUOTE, - ACTIONS(640), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(644), 1, - anon_sym_DASH, - ACTIONS(646), 1, - anon_sym_STAR, - ACTIONS(648), 1, - aux_sym_interval_expression_token1, - ACTIONS(650), 1, - anon_sym_DOLLAR, - STATE(668), 1, - sym_identifier, - STATE(675), 1, - sym__quoted_identifier, - STATE(792), 1, - sym_argument_reference, - STATE(854), 1, - sym__expression, - STATE(785), 2, - sym_dotted_name, - sym__identifier, - STATE(804), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(642), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(864), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [25198] = 24, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [93204] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(620), 1, - aux_sym_sequence_token2, - ACTIONS(622), 1, - aux_sym_null_hint_token3, - ACTIONS(624), 1, + ACTIONS(94), 1, + aux_sym_with_clause_token1, + ACTIONS(2426), 1, + anon_sym_DOT, + STATE(1404), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(92), 34, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, anon_sym_LPAREN, - ACTIONS(626), 1, - aux_sym_TRUE_token1, - ACTIONS(628), 1, - aux_sym_FALSE_token1, - ACTIONS(630), 1, - aux_sym_number_token1, - ACTIONS(632), 1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_create_function_statement_token3, + aux_sym_optimizer_hint_token1, + aux_sym_optimizer_hint_token2, + aux_sym_optimizer_hint_token3, + aux_sym_parallel_hint_token1, + aux_sym_parallel_hint_token2, + aux_sym_parallel_hint_token3, + aux_sym_parallel_hint_token4, + aux_sym_null_hint_token1, + aux_sym_null_hint_token3, + aux_sym_null_hint_token5, + aux_sym__function_language_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, + [93253] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(453), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(634), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(451), 19, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(636), 1, anon_sym_DQUOTE, - ACTIONS(638), 1, - anon_sym_SQUOTE, - ACTIONS(640), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(644), 1, - anon_sym_DASH, - ACTIONS(646), 1, - anon_sym_STAR, - ACTIONS(648), 1, - aux_sym_interval_expression_token1, - ACTIONS(650), 1, - anon_sym_DOLLAR, - STATE(668), 1, - sym_identifier, - STATE(675), 1, - sym__quoted_identifier, - STATE(792), 1, - sym_argument_reference, - STATE(852), 1, - sym__expression, - STATE(785), 2, - sym_dotted_name, - sym__identifier, - STATE(804), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(642), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(864), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [25293] = 24, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [93298] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, + ACTIONS(408), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(479), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(406), 19, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(481), 1, anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, - anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(619), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [25388] = 24, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [93343] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(620), 1, - aux_sym_sequence_token2, - ACTIONS(622), 1, - aux_sym_null_hint_token3, - ACTIONS(624), 1, - anon_sym_LPAREN, - ACTIONS(626), 1, - aux_sym_TRUE_token1, - ACTIONS(628), 1, - aux_sym_FALSE_token1, - ACTIONS(630), 1, - aux_sym_number_token1, - ACTIONS(632), 1, + ACTIONS(457), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(634), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(455), 19, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(636), 1, anon_sym_DQUOTE, - ACTIONS(638), 1, - anon_sym_SQUOTE, - ACTIONS(640), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(644), 1, - anon_sym_DASH, - ACTIONS(646), 1, - anon_sym_STAR, - ACTIONS(648), 1, - aux_sym_interval_expression_token1, - ACTIONS(650), 1, - anon_sym_DOLLAR, - STATE(668), 1, - sym_identifier, - STATE(675), 1, - sym__quoted_identifier, - STATE(792), 1, - sym_argument_reference, - STATE(851), 1, - sym__expression, - STATE(785), 2, - sym_dotted_name, - sym__identifier, - STATE(804), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(642), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(864), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [25483] = 24, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [93388] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(620), 1, - aux_sym_sequence_token2, - ACTIONS(622), 1, - aux_sym_null_hint_token3, - ACTIONS(624), 1, - anon_sym_LPAREN, - ACTIONS(626), 1, - aux_sym_TRUE_token1, - ACTIONS(628), 1, - aux_sym_FALSE_token1, - ACTIONS(630), 1, - aux_sym_number_token1, - ACTIONS(632), 1, + ACTIONS(90), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(634), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(88), 19, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(636), 1, anon_sym_DQUOTE, - ACTIONS(638), 1, - anon_sym_SQUOTE, - ACTIONS(640), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(644), 1, - anon_sym_DASH, - ACTIONS(646), 1, - anon_sym_STAR, - ACTIONS(648), 1, - aux_sym_interval_expression_token1, - ACTIONS(650), 1, - anon_sym_DOLLAR, - STATE(668), 1, - sym_identifier, - STATE(675), 1, - sym__quoted_identifier, - STATE(792), 1, - sym_argument_reference, - STATE(850), 1, - sym__expression, - STATE(785), 2, - sym_dotted_name, - sym__identifier, - STATE(804), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(642), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(864), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [25578] = 24, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [93433] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(115), 1, + aux_sym_with_clause_token1, + ACTIONS(2420), 1, + anon_sym_DOT, + STATE(1404), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(113), 34, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_cte_token1, + aux_sym_cte_token2, + anon_sym_LPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_create_function_statement_token3, + aux_sym_optimizer_hint_token1, + aux_sym_optimizer_hint_token2, + aux_sym_optimizer_hint_token3, + aux_sym_parallel_hint_token1, + aux_sym_parallel_hint_token2, + aux_sym_parallel_hint_token3, + aux_sym_parallel_hint_token4, + aux_sym_null_hint_token1, + aux_sym_null_hint_token3, + aux_sym_null_hint_token5, + aux_sym__function_language_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, + [93482] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(620), 1, - aux_sym_sequence_token2, - ACTIONS(622), 1, - aux_sym_null_hint_token3, - ACTIONS(624), 1, - anon_sym_LPAREN, - ACTIONS(626), 1, - aux_sym_TRUE_token1, - ACTIONS(628), 1, - aux_sym_FALSE_token1, - ACTIONS(630), 1, - aux_sym_number_token1, - ACTIONS(632), 1, - sym__unquoted_identifier, - ACTIONS(634), 1, - anon_sym_BQUOTE, - ACTIONS(636), 1, - anon_sym_DQUOTE, - ACTIONS(638), 1, - anon_sym_SQUOTE, - ACTIONS(640), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(644), 1, + ACTIONS(256), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(646), 1, - anon_sym_STAR, - ACTIONS(648), 1, - aux_sym_interval_expression_token1, - ACTIONS(650), 1, - anon_sym_DOLLAR, - STATE(668), 1, - sym_identifier, - STATE(675), 1, - sym__quoted_identifier, - STATE(792), 1, - sym_argument_reference, - STATE(898), 1, - sym__expression, - STATE(785), 2, - sym_dotted_name, - sym__identifier, - STATE(804), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(642), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(864), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [25673] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(254), 27, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [93527] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(535), 1, - aux_sym_sequence_token2, - ACTIONS(537), 1, - aux_sym_null_hint_token3, - ACTIONS(539), 1, - anon_sym_LPAREN, - ACTIONS(541), 1, - aux_sym_TRUE_token1, - ACTIONS(543), 1, - aux_sym_FALSE_token1, - ACTIONS(545), 1, - aux_sym_number_token1, - ACTIONS(547), 1, + ACTIONS(537), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(549), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(535), 19, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(551), 1, anon_sym_DQUOTE, - ACTIONS(553), 1, - anon_sym_SQUOTE, - ACTIONS(555), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(559), 1, - anon_sym_DASH, - ACTIONS(561), 1, - anon_sym_STAR, - ACTIONS(563), 1, - aux_sym_interval_expression_token1, - ACTIONS(565), 1, - anon_sym_DOLLAR, - STATE(13), 1, - sym_identifier, - STATE(23), 1, - sym__quoted_identifier, - STATE(113), 1, - sym_argument_reference, - STATE(147), 1, - sym__expression, - STATE(115), 2, - sym_dotted_name, - sym__identifier, - STATE(130), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(557), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(167), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [25768] = 24, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [93572] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(620), 1, - aux_sym_sequence_token2, - ACTIONS(622), 1, - aux_sym_null_hint_token3, - ACTIONS(624), 1, - anon_sym_LPAREN, - ACTIONS(626), 1, - aux_sym_TRUE_token1, - ACTIONS(628), 1, - aux_sym_FALSE_token1, - ACTIONS(630), 1, - aux_sym_number_token1, - ACTIONS(632), 1, - sym__unquoted_identifier, - ACTIONS(634), 1, - anon_sym_BQUOTE, - ACTIONS(636), 1, - anon_sym_DQUOTE, - ACTIONS(638), 1, - anon_sym_SQUOTE, - ACTIONS(640), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(644), 1, + ACTIONS(461), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(646), 1, - anon_sym_STAR, - ACTIONS(648), 1, - aux_sym_interval_expression_token1, - ACTIONS(650), 1, - anon_sym_DOLLAR, - STATE(668), 1, - sym_identifier, - STATE(675), 1, - sym__quoted_identifier, - STATE(792), 1, - sym_argument_reference, - STATE(849), 1, - sym__expression, - STATE(785), 2, - sym_dotted_name, - sym__identifier, - STATE(804), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(642), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(864), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [25863] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(459), 27, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [93617] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, + ACTIONS(461), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(479), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(459), 19, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(481), 1, anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, - anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(910), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [25958] = 24, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [93662] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(620), 1, - aux_sym_sequence_token2, - ACTIONS(622), 1, - aux_sym_null_hint_token3, - ACTIONS(624), 1, - anon_sym_LPAREN, - ACTIONS(626), 1, - aux_sym_TRUE_token1, - ACTIONS(628), 1, - aux_sym_FALSE_token1, - ACTIONS(630), 1, - aux_sym_number_token1, - ACTIONS(632), 1, - sym__unquoted_identifier, - ACTIONS(634), 1, + ACTIONS(585), 18, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(636), 1, anon_sym_DQUOTE, - ACTIONS(638), 1, - anon_sym_SQUOTE, - ACTIONS(640), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(644), 1, - anon_sym_DASH, - ACTIONS(646), 1, - anon_sym_STAR, - ACTIONS(648), 1, - aux_sym_interval_expression_token1, - ACTIONS(650), 1, - anon_sym_DOLLAR, - STATE(668), 1, - sym_identifier, - STATE(675), 1, - sym__quoted_identifier, - STATE(792), 1, - sym_argument_reference, - STATE(848), 1, - sym__expression, - STATE(785), 2, - sym_dotted_name, - sym__identifier, - STATE(804), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(642), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(587), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(864), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [26053] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [93706] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(780), 1, - aux_sym_sequence_token2, - ACTIONS(782), 1, - aux_sym_null_hint_token3, - ACTIONS(784), 1, - anon_sym_LPAREN, - ACTIONS(786), 1, - aux_sym_TRUE_token1, - ACTIONS(788), 1, - aux_sym_FALSE_token1, - ACTIONS(790), 1, - aux_sym_number_token1, - ACTIONS(792), 1, - sym__unquoted_identifier, - ACTIONS(794), 1, - anon_sym_BQUOTE, - ACTIONS(796), 1, - anon_sym_DQUOTE, - ACTIONS(798), 1, - anon_sym_SQUOTE, - ACTIONS(800), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(804), 1, + ACTIONS(2143), 1, + anon_sym_LBRACK, + ACTIONS(340), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(806), 1, - anon_sym_STAR, - ACTIONS(808), 1, - aux_sym_interval_expression_token1, - ACTIONS(810), 1, - anon_sym_DOLLAR, - STATE(180), 1, - sym_identifier, - STATE(208), 1, - sym__quoted_identifier, - STATE(477), 1, - sym_argument_reference, - STATE(517), 1, - sym__expression, - STATE(476), 2, - sym_dotted_name, - sym__identifier, - STATE(474), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(802), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(519), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [26148] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(338), 25, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [93752] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(620), 1, - aux_sym_sequence_token2, - ACTIONS(622), 1, - aux_sym_null_hint_token3, - ACTIONS(624), 1, - anon_sym_LPAREN, - ACTIONS(626), 1, - aux_sym_TRUE_token1, - ACTIONS(628), 1, - aux_sym_FALSE_token1, - ACTIONS(630), 1, - aux_sym_number_token1, - ACTIONS(632), 1, - sym__unquoted_identifier, - ACTIONS(634), 1, + ACTIONS(621), 18, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(636), 1, anon_sym_DQUOTE, - ACTIONS(638), 1, - anon_sym_SQUOTE, - ACTIONS(640), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(644), 1, - anon_sym_DASH, - ACTIONS(646), 1, - anon_sym_STAR, - ACTIONS(648), 1, - aux_sym_interval_expression_token1, - ACTIONS(650), 1, - anon_sym_DOLLAR, - STATE(668), 1, - sym_identifier, - STATE(675), 1, - sym__quoted_identifier, - STATE(792), 1, - sym_argument_reference, - STATE(844), 1, - sym__expression, - STATE(785), 2, - sym_dotted_name, - sym__identifier, - STATE(804), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(642), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(623), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(864), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [26243] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [93796] = 16, ACTIONS(3), 1, sym_comment, - ACTIONS(812), 1, - aux_sym_sequence_token2, - ACTIONS(814), 1, - aux_sym_null_hint_token3, - ACTIONS(816), 1, - anon_sym_LPAREN, - ACTIONS(818), 1, - aux_sym_TRUE_token1, - ACTIONS(820), 1, - aux_sym_FALSE_token1, - ACTIONS(822), 1, - aux_sym_number_token1, - ACTIONS(824), 1, - sym__unquoted_identifier, - ACTIONS(826), 1, + ACTIONS(2305), 1, + aux_sym_cte_token2, + ACTIONS(2307), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(2311), 1, + aux_sym_is_expression_token1, + ACTIONS(2313), 1, + aux_sym_boolean_expression_token1, + ACTIONS(2315), 1, + aux_sym_boolean_expression_token2, + ACTIONS(2317), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(2329), 1, + anon_sym_CARET, + ACTIONS(2333), 1, + anon_sym_SLASH, + ACTIONS(2323), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(2325), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(617), 4, anon_sym_BQUOTE, - ACTIONS(828), 1, anon_sym_DQUOTE, - ACTIONS(830), 1, - anon_sym_SQUOTE, - ACTIONS(832), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(836), 1, - anon_sym_DASH, - ACTIONS(838), 1, - anon_sym_STAR, - ACTIONS(840), 1, - aux_sym_interval_expression_token1, - ACTIONS(842), 1, - anon_sym_DOLLAR, - STATE(669), 1, - sym_identifier, - STATE(683), 1, - sym__quoted_identifier, - STATE(808), 1, - sym_argument_reference, - STATE(856), 1, - sym__expression, - STATE(805), 2, - sym_dotted_name, - sym__identifier, - STATE(800), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(834), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(2327), 4, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(813), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [26338] = 24, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(619), 5, + aux_sym_cte_token1, + aux_sym_null_hint_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(2331), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(2309), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [93866] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(570), 1, - aux_sym_sequence_token2, - ACTIONS(572), 1, - aux_sym_null_hint_token3, - ACTIONS(574), 1, - anon_sym_LPAREN, - ACTIONS(576), 1, - aux_sym_TRUE_token1, - ACTIONS(578), 1, - aux_sym_FALSE_token1, - ACTIONS(580), 1, - aux_sym_number_token1, - ACTIONS(582), 1, - sym__unquoted_identifier, - ACTIONS(584), 1, + ACTIONS(609), 18, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(586), 1, anon_sym_DQUOTE, - ACTIONS(588), 1, - anon_sym_SQUOTE, - ACTIONS(590), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(594), 1, - anon_sym_DASH, - ACTIONS(596), 1, - anon_sym_STAR, - ACTIONS(598), 1, - aux_sym_interval_expression_token1, - ACTIONS(600), 1, - anon_sym_DOLLAR, - STATE(247), 1, - sym_identifier, - STATE(253), 1, - sym__quoted_identifier, - STATE(578), 1, - sym_argument_reference, - STATE(624), 1, - sym__expression, - STATE(579), 2, - sym_dotted_name, - sym__identifier, - STATE(581), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(592), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(611), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(652), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [26433] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [93910] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, + ACTIONS(549), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(491), 1, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(547), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(934), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [93954] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(545), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(543), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [93998] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(569), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [26528] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(567), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [94042] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(780), 1, - aux_sym_sequence_token2, - ACTIONS(782), 1, - aux_sym_null_hint_token3, - ACTIONS(784), 1, - anon_sym_LPAREN, - ACTIONS(786), 1, - aux_sym_TRUE_token1, - ACTIONS(788), 1, - aux_sym_FALSE_token1, - ACTIONS(790), 1, - aux_sym_number_token1, - ACTIONS(792), 1, - sym__unquoted_identifier, - ACTIONS(794), 1, + ACTIONS(605), 18, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(796), 1, anon_sym_DQUOTE, - ACTIONS(798), 1, - anon_sym_SQUOTE, - ACTIONS(800), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(804), 1, - anon_sym_DASH, - ACTIONS(806), 1, - anon_sym_STAR, - ACTIONS(808), 1, - aux_sym_interval_expression_token1, - ACTIONS(810), 1, - anon_sym_DOLLAR, - STATE(180), 1, - sym_identifier, - STATE(208), 1, - sym__quoted_identifier, - STATE(477), 1, - sym_argument_reference, - STATE(516), 1, - sym__expression, - STATE(476), 2, - sym_dotted_name, - sym__identifier, - STATE(474), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(802), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(607), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(519), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [26623] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [94086] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(570), 1, - aux_sym_sequence_token2, - ACTIONS(572), 1, - aux_sym_null_hint_token3, - ACTIONS(574), 1, - anon_sym_LPAREN, - ACTIONS(576), 1, - aux_sym_TRUE_token1, - ACTIONS(578), 1, - aux_sym_FALSE_token1, - ACTIONS(580), 1, - aux_sym_number_token1, - ACTIONS(582), 1, - sym__unquoted_identifier, - ACTIONS(584), 1, - anon_sym_BQUOTE, - ACTIONS(586), 1, - anon_sym_DQUOTE, - ACTIONS(588), 1, - anon_sym_SQUOTE, - ACTIONS(590), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(594), 1, + ACTIONS(607), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(596), 1, - anon_sym_STAR, - ACTIONS(598), 1, - aux_sym_interval_expression_token1, - ACTIONS(600), 1, - anon_sym_DOLLAR, - STATE(247), 1, - sym_identifier, - STATE(253), 1, - sym__quoted_identifier, - STATE(578), 1, - sym_argument_reference, - STATE(630), 1, - sym__expression, - STATE(579), 2, - sym_dotted_name, - sym__identifier, - STATE(581), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(592), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(652), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [26718] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(605), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [94130] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(876), 1, - aux_sym_sequence_token2, - ACTIONS(878), 1, - aux_sym_null_hint_token3, - ACTIONS(880), 1, - anon_sym_LPAREN, - ACTIONS(882), 1, - aux_sym_TRUE_token1, - ACTIONS(884), 1, - aux_sym_FALSE_token1, - ACTIONS(886), 1, - aux_sym_number_token1, - ACTIONS(888), 1, - sym__unquoted_identifier, - ACTIONS(890), 1, + ACTIONS(567), 18, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(892), 1, anon_sym_DQUOTE, - ACTIONS(894), 1, - anon_sym_SQUOTE, - ACTIONS(896), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(900), 1, - anon_sym_DASH, - ACTIONS(902), 1, - anon_sym_STAR, - ACTIONS(904), 1, - aux_sym_interval_expression_token1, - ACTIONS(906), 1, - anon_sym_DOLLAR, - STATE(51), 1, - sym_identifier, - STATE(138), 1, - sym__quoted_identifier, - STATE(183), 1, - sym_argument_reference, - STATE(200), 1, - sym__expression, - STATE(178), 2, - sym_dotted_name, - sym__identifier, - STATE(177), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(898), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(569), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(202), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [26813] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [94174] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(570), 1, - aux_sym_sequence_token2, - ACTIONS(572), 1, - aux_sym_null_hint_token3, - ACTIONS(574), 1, - anon_sym_LPAREN, - ACTIONS(576), 1, - aux_sym_TRUE_token1, - ACTIONS(578), 1, - aux_sym_FALSE_token1, - ACTIONS(580), 1, - aux_sym_number_token1, - ACTIONS(582), 1, - sym__unquoted_identifier, - ACTIONS(584), 1, + ACTIONS(543), 18, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(586), 1, anon_sym_DQUOTE, - ACTIONS(588), 1, - anon_sym_SQUOTE, - ACTIONS(590), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(594), 1, - anon_sym_DASH, - ACTIONS(596), 1, - anon_sym_STAR, - ACTIONS(598), 1, - aux_sym_interval_expression_token1, - ACTIONS(600), 1, - anon_sym_DOLLAR, - STATE(247), 1, - sym_identifier, - STATE(253), 1, - sym__quoted_identifier, - STATE(578), 1, - sym_argument_reference, - STATE(638), 1, - sym__expression, - STATE(579), 2, - sym_dotted_name, - sym__identifier, - STATE(581), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(592), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(545), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(652), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [26908] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [94218] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(570), 1, - aux_sym_sequence_token2, - ACTIONS(572), 1, - aux_sym_null_hint_token3, - ACTIONS(574), 1, - anon_sym_LPAREN, - ACTIONS(576), 1, - aux_sym_TRUE_token1, - ACTIONS(578), 1, - aux_sym_FALSE_token1, - ACTIONS(580), 1, - aux_sym_number_token1, - ACTIONS(582), 1, - sym__unquoted_identifier, - ACTIONS(584), 1, + ACTIONS(547), 18, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(586), 1, anon_sym_DQUOTE, - ACTIONS(588), 1, - anon_sym_SQUOTE, - ACTIONS(590), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(594), 1, - anon_sym_DASH, - ACTIONS(596), 1, - anon_sym_STAR, - ACTIONS(598), 1, - aux_sym_interval_expression_token1, - ACTIONS(600), 1, - anon_sym_DOLLAR, - STATE(247), 1, - sym_identifier, - STATE(253), 1, - sym__quoted_identifier, - STATE(578), 1, - sym_argument_reference, - STATE(639), 1, - sym__expression, - STATE(579), 2, - sym_dotted_name, - sym__identifier, - STATE(581), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(592), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(549), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(652), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [27003] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [94262] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(570), 1, - aux_sym_sequence_token2, - ACTIONS(572), 1, - aux_sym_null_hint_token3, - ACTIONS(574), 1, - anon_sym_LPAREN, - ACTIONS(576), 1, - aux_sym_TRUE_token1, - ACTIONS(578), 1, - aux_sym_FALSE_token1, - ACTIONS(580), 1, - aux_sym_number_token1, - ACTIONS(582), 1, - sym__unquoted_identifier, - ACTIONS(584), 1, - anon_sym_BQUOTE, - ACTIONS(586), 1, - anon_sym_DQUOTE, - ACTIONS(588), 1, - anon_sym_SQUOTE, - ACTIONS(590), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(594), 1, + ACTIONS(611), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(596), 1, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(609), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, anon_sym_STAR, - ACTIONS(598), 1, - aux_sym_interval_expression_token1, - ACTIONS(600), 1, - anon_sym_DOLLAR, - STATE(247), 1, - sym_identifier, - STATE(253), 1, - sym__quoted_identifier, - STATE(578), 1, - sym_argument_reference, - STATE(642), 1, - sym__expression, - STATE(579), 2, - sym_dotted_name, - sym__identifier, - STATE(581), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(592), 6, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [94306] = 16, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2429), 1, + aux_sym_cte_token2, + ACTIONS(2431), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(2435), 1, + aux_sym_is_expression_token1, + ACTIONS(2437), 1, + aux_sym_boolean_expression_token1, + ACTIONS(2439), 1, + aux_sym_boolean_expression_token2, + ACTIONS(2441), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(2449), 1, + anon_sym_CARET, + ACTIONS(2453), 1, + anon_sym_SLASH, + ACTIONS(619), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(2443), 2, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_PIPE, + ACTIONS(2445), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(2447), 4, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(652), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [27098] = 24, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(2451), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(2433), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(617), 7, + anon_sym_COMMA, + anon_sym_RPAREN, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + [94376] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(570), 1, - aux_sym_sequence_token2, - ACTIONS(572), 1, - aux_sym_null_hint_token3, - ACTIONS(574), 1, - anon_sym_LPAREN, - ACTIONS(576), 1, - aux_sym_TRUE_token1, - ACTIONS(578), 1, - aux_sym_FALSE_token1, - ACTIONS(580), 1, - aux_sym_number_token1, - ACTIONS(582), 1, - sym__unquoted_identifier, - ACTIONS(584), 1, - anon_sym_BQUOTE, - ACTIONS(586), 1, - anon_sym_DQUOTE, - ACTIONS(588), 1, - anon_sym_SQUOTE, - ACTIONS(590), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(594), 1, + ACTIONS(623), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(596), 1, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(621), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, anon_sym_STAR, - ACTIONS(598), 1, - aux_sym_interval_expression_token1, - ACTIONS(600), 1, - anon_sym_DOLLAR, - STATE(247), 1, - sym_identifier, - STATE(253), 1, - sym__quoted_identifier, - STATE(578), 1, - sym_argument_reference, - STATE(643), 1, - sym__expression, - STATE(579), 2, - sym_dotted_name, - sym__identifier, - STATE(581), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(592), 6, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [94420] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(551), 18, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(553), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(652), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [27193] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [94464] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(684), 1, - aux_sym_sequence_token2, - ACTIONS(686), 1, - aux_sym_null_hint_token3, - ACTIONS(688), 1, - anon_sym_LPAREN, - ACTIONS(690), 1, - aux_sym_TRUE_token1, - ACTIONS(692), 1, - aux_sym_FALSE_token1, - ACTIONS(694), 1, - aux_sym_number_token1, - ACTIONS(696), 1, - sym__unquoted_identifier, - ACTIONS(698), 1, + ACTIONS(2317), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(2329), 1, + anon_sym_CARET, + ACTIONS(581), 17, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(700), 1, anon_sym_DQUOTE, - ACTIONS(702), 1, - anon_sym_SQUOTE, - ACTIONS(704), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(708), 1, - anon_sym_DASH, - ACTIONS(710), 1, - anon_sym_STAR, - ACTIONS(712), 1, - aux_sym_interval_expression_token1, - ACTIONS(714), 1, - anon_sym_DOLLAR, - STATE(7), 1, - sym_identifier, - STATE(16), 1, - sym__quoted_identifier, - STATE(55), 1, - sym_argument_reference, - STATE(172), 1, - sym__expression, - STATE(53), 2, - sym_dotted_name, - sym__identifier, - STATE(52), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(706), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(583), 17, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(137), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [27288] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [94512] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(570), 1, - aux_sym_sequence_token2, - ACTIONS(572), 1, - aux_sym_null_hint_token3, - ACTIONS(574), 1, - anon_sym_LPAREN, - ACTIONS(576), 1, - aux_sym_TRUE_token1, - ACTIONS(578), 1, - aux_sym_FALSE_token1, - ACTIONS(580), 1, - aux_sym_number_token1, - ACTIONS(582), 1, + ACTIONS(2317), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(583), 17, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, sym__unquoted_identifier, - ACTIONS(584), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(581), 18, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(586), 1, anon_sym_DQUOTE, - ACTIONS(588), 1, - anon_sym_SQUOTE, - ACTIONS(590), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(594), 1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [94558] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(603), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(596), 1, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(601), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, anon_sym_STAR, - ACTIONS(598), 1, - aux_sym_interval_expression_token1, - ACTIONS(600), 1, - anon_sym_DOLLAR, - STATE(247), 1, - sym_identifier, - STATE(253), 1, - sym__quoted_identifier, - STATE(578), 1, - sym_argument_reference, - STATE(644), 1, - sym__expression, - STATE(579), 2, - sym_dotted_name, - sym__identifier, - STATE(581), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(592), 6, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [94602] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2317), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(2329), 1, + anon_sym_CARET, + ACTIONS(2333), 1, + anon_sym_SLASH, + ACTIONS(2331), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(581), 12, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(583), 16, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(652), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [27383] = 24, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [94654] = 15, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, + ACTIONS(2305), 1, + aux_sym_cte_token2, + ACTIONS(2307), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(2311), 1, + aux_sym_is_expression_token1, + ACTIONS(2313), 1, + aux_sym_boolean_expression_token1, + ACTIONS(2317), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(2329), 1, + anon_sym_CARET, + ACTIONS(2333), 1, + anon_sym_SLASH, + ACTIONS(2323), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(2325), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(589), 4, anon_sym_BQUOTE, - ACTIONS(481), 1, anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, - anon_sym_DASH, - ACTIONS(491), 1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(2327), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(2331), 5, anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(897), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(591), 6, + aux_sym_cte_token1, + aux_sym_null_hint_token2, + aux_sym_boolean_expression_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(2309), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [94722] = 14, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2305), 1, + aux_sym_cte_token2, + ACTIONS(2307), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(2311), 1, + aux_sym_is_expression_token1, + ACTIONS(2317), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(2329), 1, + anon_sym_CARET, + ACTIONS(2333), 1, + anon_sym_SLASH, + ACTIONS(2323), 2, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_PIPE, + ACTIONS(2325), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(589), 4, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(2327), 4, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [27478] = 3, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(2331), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(2309), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(591), 7, + aux_sym_cte_token1, + aux_sym_null_hint_token2, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + [94788] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(109), 22, + ACTIONS(593), 18, anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, - anon_sym_RPAREN, anon_sym_BQUOTE, anon_sym_DQUOTE, - anon_sym_LBRACK, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -36949,145 +106240,190 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - ACTIONS(111), 23, - aux_sym_sequence_token2, - aux_sym_sequence_token3, + ACTIONS(595), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - anon_sym_DOT, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [27531] = 24, + [94832] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(570), 1, - aux_sym_sequence_token2, - ACTIONS(572), 1, - aux_sym_null_hint_token3, - ACTIONS(574), 1, - anon_sym_LPAREN, - ACTIONS(576), 1, - aux_sym_TRUE_token1, - ACTIONS(578), 1, - aux_sym_FALSE_token1, - ACTIONS(580), 1, - aux_sym_number_token1, - ACTIONS(582), 1, - sym__unquoted_identifier, - ACTIONS(584), 1, - anon_sym_BQUOTE, - ACTIONS(586), 1, - anon_sym_DQUOTE, - ACTIONS(588), 1, - anon_sym_SQUOTE, - ACTIONS(590), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(594), 1, + ACTIONS(90), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(596), 1, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(88), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, anon_sym_STAR, - ACTIONS(598), 1, - aux_sym_interval_expression_token1, - ACTIONS(600), 1, - anon_sym_DOLLAR, - STATE(247), 1, - sym_identifier, - STATE(253), 1, - sym__quoted_identifier, - STATE(578), 1, - sym_argument_reference, - STATE(640), 1, - sym__expression, - STATE(579), 2, - sym_dotted_name, - sym__identifier, - STATE(581), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(592), 6, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [94876] = 9, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2317), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(2329), 1, + anon_sym_CARET, + ACTIONS(2333), 1, + anon_sym_SLASH, + ACTIONS(2323), 2, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_PIPE, + ACTIONS(2325), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(2331), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(581), 10, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(583), 14, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(652), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [27626] = 5, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [94932] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(908), 1, - anon_sym_DOT, - STATE(368), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(111), 21, - aux_sym_sequence_token2, - aux_sym_sequence_token3, + ACTIONS(2441), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(2449), 1, + anon_sym_CARET, + ACTIONS(583), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(581), 24, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, + anon_sym_EQ, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, + aux_sym_limit_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [94980] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2441), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(583), 10, aux_sym_boolean_expression_token2, - sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(109), 22, - anon_sym_EQ, - anon_sym_LPAREN, + ACTIONS(581), 25, anon_sym_COMMA, + aux_sym_cte_token2, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_LBRACK, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -37096,192 +106432,166 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [27683] = 24, + [95026] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(844), 1, - aux_sym_sequence_token2, - ACTIONS(846), 1, - aux_sym_null_hint_token3, - ACTIONS(848), 1, - anon_sym_LPAREN, - ACTIONS(850), 1, - aux_sym_TRUE_token1, - ACTIONS(852), 1, - aux_sym_FALSE_token1, - ACTIONS(854), 1, - aux_sym_number_token1, - ACTIONS(856), 1, - sym__unquoted_identifier, - ACTIONS(858), 1, - anon_sym_BQUOTE, - ACTIONS(860), 1, - anon_sym_DQUOTE, - ACTIONS(862), 1, - anon_sym_SQUOTE, - ACTIONS(864), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(868), 1, - anon_sym_DASH, - ACTIONS(870), 1, + ACTIONS(2441), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(2449), 1, + anon_sym_CARET, + ACTIONS(2453), 1, + anon_sym_SLASH, + ACTIONS(2451), 5, anon_sym_STAR, - ACTIONS(872), 1, - aux_sym_interval_expression_token1, - ACTIONS(874), 1, - anon_sym_DOLLAR, - STATE(520), 1, - sym_identifier, - STATE(607), 1, - sym__quoted_identifier, - STATE(693), 1, - sym_argument_reference, - STATE(706), 1, - sym__expression, - STATE(691), 2, - sym_dotted_name, - sym__identifier, - STATE(692), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(866), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(583), 9, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(703), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [27778] = 24, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(581), 19, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [95078] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(780), 1, - aux_sym_sequence_token2, - ACTIONS(782), 1, - aux_sym_null_hint_token3, - ACTIONS(784), 1, - anon_sym_LPAREN, - ACTIONS(786), 1, - aux_sym_TRUE_token1, - ACTIONS(788), 1, - aux_sym_FALSE_token1, - ACTIONS(790), 1, - aux_sym_number_token1, - ACTIONS(792), 1, - sym__unquoted_identifier, - ACTIONS(794), 1, + ACTIONS(637), 18, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(796), 1, anon_sym_DQUOTE, - ACTIONS(798), 1, - anon_sym_SQUOTE, - ACTIONS(800), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(804), 1, - anon_sym_DASH, - ACTIONS(806), 1, - anon_sym_STAR, - ACTIONS(808), 1, - aux_sym_interval_expression_token1, - ACTIONS(810), 1, - anon_sym_DOLLAR, - STATE(180), 1, - sym_identifier, - STATE(208), 1, - sym__quoted_identifier, - STATE(477), 1, - sym_argument_reference, - STATE(543), 1, - sym__expression, - STATE(476), 2, - sym_dotted_name, - sym__identifier, - STATE(474), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(802), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(639), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(519), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [27873] = 5, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [95122] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(607), 1, - anon_sym_DOT, - STATE(368), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(81), 21, - aux_sym_sequence_token2, - aux_sym_sequence_token3, + ACTIONS(587), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(585), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, + anon_sym_EQ, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, + aux_sym_limit_clause_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [95166] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(453), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(79), 22, - anon_sym_EQ, - anon_sym_LPAREN, + ACTIONS(451), 26, anon_sym_COMMA, + aux_sym_cte_token2, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_LBRACK, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -37290,1873 +106600,1193 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [27930] = 24, + [95210] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(716), 1, - aux_sym_sequence_token2, - ACTIONS(718), 1, - aux_sym_null_hint_token3, - ACTIONS(720), 1, - anon_sym_LPAREN, - ACTIONS(722), 1, - aux_sym_TRUE_token1, - ACTIONS(724), 1, - aux_sym_FALSE_token1, - ACTIONS(726), 1, - aux_sym_number_token1, - ACTIONS(728), 1, - sym__unquoted_identifier, - ACTIONS(730), 1, + ACTIONS(613), 18, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(732), 1, anon_sym_DQUOTE, - ACTIONS(734), 1, - anon_sym_SQUOTE, - ACTIONS(736), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(740), 1, - anon_sym_DASH, - ACTIONS(742), 1, - anon_sym_STAR, - ACTIONS(744), 1, - aux_sym_interval_expression_token1, - ACTIONS(746), 1, - anon_sym_DOLLAR, - STATE(3), 1, - sym__quoted_identifier, - STATE(175), 1, - sym_identifier, - STATE(452), 1, - sym_argument_reference, - STATE(542), 1, - sym__expression, - STATE(451), 2, - sym_dotted_name, - sym__identifier, - STATE(450), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(738), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(458), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [28025] = 24, - ACTIONS(3), 1, - sym_comment, - ACTIONS(535), 1, - aux_sym_sequence_token2, - ACTIONS(537), 1, - aux_sym_null_hint_token3, - ACTIONS(539), 1, - anon_sym_LPAREN, - ACTIONS(541), 1, - aux_sym_TRUE_token1, - ACTIONS(543), 1, - aux_sym_FALSE_token1, - ACTIONS(545), 1, - aux_sym_number_token1, - ACTIONS(547), 1, - sym__unquoted_identifier, - ACTIONS(549), 1, - anon_sym_BQUOTE, - ACTIONS(551), 1, - anon_sym_DQUOTE, - ACTIONS(553), 1, - anon_sym_SQUOTE, - ACTIONS(555), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(559), 1, - anon_sym_DASH, - ACTIONS(561), 1, + anon_sym_CARET, anon_sym_STAR, - ACTIONS(563), 1, - aux_sym_interval_expression_token1, - ACTIONS(565), 1, - anon_sym_DOLLAR, - STATE(13), 1, - sym_identifier, - STATE(23), 1, - sym__quoted_identifier, - STATE(113), 1, - sym_argument_reference, - STATE(152), 1, - sym__expression, - STATE(115), 2, - sym_dotted_name, - sym__identifier, - STATE(130), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(557), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(167), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [28120] = 24, - ACTIONS(3), 1, - sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(615), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(879), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [28215] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [95254] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(535), 1, - aux_sym_sequence_token2, - ACTIONS(537), 1, - aux_sym_null_hint_token3, - ACTIONS(539), 1, + ACTIONS(2457), 1, anon_sym_LPAREN, - ACTIONS(541), 1, - aux_sym_TRUE_token1, - ACTIONS(543), 1, - aux_sym_FALSE_token1, - ACTIONS(545), 1, - aux_sym_number_token1, - ACTIONS(547), 1, - sym__unquoted_identifier, - ACTIONS(549), 1, - anon_sym_BQUOTE, - ACTIONS(551), 1, - anon_sym_DQUOTE, - ACTIONS(553), 1, - anon_sym_SQUOTE, - ACTIONS(555), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(559), 1, - anon_sym_DASH, - ACTIONS(561), 1, - anon_sym_STAR, - ACTIONS(563), 1, - aux_sym_interval_expression_token1, - ACTIONS(565), 1, - anon_sym_DOLLAR, - STATE(13), 1, - sym_identifier, - STATE(23), 1, - sym__quoted_identifier, - STATE(113), 1, - sym_argument_reference, - STATE(153), 1, - sym__expression, - STATE(115), 2, - sym_dotted_name, - sym__identifier, - STATE(130), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(557), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(167), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [28310] = 24, - ACTIONS(3), 1, - sym_comment, - ACTIONS(535), 1, - aux_sym_sequence_token2, - ACTIONS(537), 1, + ACTIONS(2459), 1, + aux_sym_null_hint_token2, + STATE(1532), 3, + sym_on_update_action, + sym_on_delete_action, + aux_sym_references_constraint_repeat1, + ACTIONS(2455), 31, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_pg_command_token1, aux_sym_null_hint_token3, - ACTIONS(539), 1, - anon_sym_LPAREN, - ACTIONS(541), 1, - aux_sym_TRUE_token1, - ACTIONS(543), 1, - aux_sym_FALSE_token1, - ACTIONS(545), 1, - aux_sym_number_token1, - ACTIONS(547), 1, - sym__unquoted_identifier, - ACTIONS(549), 1, - anon_sym_BQUOTE, - ACTIONS(551), 1, - anon_sym_DQUOTE, - ACTIONS(553), 1, - anon_sym_SQUOTE, - ACTIONS(555), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(559), 1, - anon_sym_DASH, - ACTIONS(561), 1, - anon_sym_STAR, - ACTIONS(563), 1, - aux_sym_interval_expression_token1, - ACTIONS(565), 1, - anon_sym_DOLLAR, - STATE(13), 1, - sym_identifier, - STATE(23), 1, - sym__quoted_identifier, - STATE(113), 1, - sym_argument_reference, - STATE(154), 1, - sym__expression, - STATE(115), 2, - sym_dotted_name, - sym__identifier, - STATE(130), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(557), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(167), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [28405] = 24, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + [95302] = 15, ACTIONS(3), 1, sym_comment, - ACTIONS(535), 1, - aux_sym_sequence_token2, - ACTIONS(537), 1, - aux_sym_null_hint_token3, - ACTIONS(539), 1, - anon_sym_LPAREN, - ACTIONS(541), 1, - aux_sym_TRUE_token1, - ACTIONS(543), 1, - aux_sym_FALSE_token1, - ACTIONS(545), 1, - aux_sym_number_token1, - ACTIONS(547), 1, - sym__unquoted_identifier, - ACTIONS(549), 1, - anon_sym_BQUOTE, - ACTIONS(551), 1, - anon_sym_DQUOTE, - ACTIONS(553), 1, - anon_sym_SQUOTE, - ACTIONS(555), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(559), 1, - anon_sym_DASH, - ACTIONS(561), 1, - anon_sym_STAR, - ACTIONS(563), 1, - aux_sym_interval_expression_token1, - ACTIONS(565), 1, - anon_sym_DOLLAR, - STATE(13), 1, - sym_identifier, - STATE(23), 1, - sym__quoted_identifier, - STATE(113), 1, - sym_argument_reference, - STATE(155), 1, - sym__expression, - STATE(115), 2, - sym_dotted_name, - sym__identifier, - STATE(130), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(557), 6, + ACTIONS(2429), 1, + aux_sym_cte_token2, + ACTIONS(2431), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(2435), 1, + aux_sym_is_expression_token1, + ACTIONS(2437), 1, + aux_sym_boolean_expression_token1, + ACTIONS(2441), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(2449), 1, + anon_sym_CARET, + ACTIONS(2453), 1, + anon_sym_SLASH, + ACTIONS(2443), 2, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(167), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [28500] = 24, - ACTIONS(3), 1, - sym_comment, - ACTIONS(535), 1, - aux_sym_sequence_token2, - ACTIONS(537), 1, - aux_sym_null_hint_token3, - ACTIONS(539), 1, - anon_sym_LPAREN, - ACTIONS(541), 1, - aux_sym_TRUE_token1, - ACTIONS(543), 1, - aux_sym_FALSE_token1, - ACTIONS(545), 1, - aux_sym_number_token1, - ACTIONS(547), 1, - sym__unquoted_identifier, - ACTIONS(549), 1, - anon_sym_BQUOTE, - ACTIONS(551), 1, - anon_sym_DQUOTE, - ACTIONS(553), 1, - anon_sym_SQUOTE, - ACTIONS(555), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(559), 1, + anon_sym_PIPE, + ACTIONS(2445), 2, anon_sym_DASH, - ACTIONS(561), 1, - anon_sym_STAR, - ACTIONS(563), 1, - aux_sym_interval_expression_token1, - ACTIONS(565), 1, - anon_sym_DOLLAR, - STATE(13), 1, - sym_identifier, - STATE(23), 1, - sym__quoted_identifier, - STATE(113), 1, - sym_argument_reference, - STATE(157), 1, - sym__expression, - STATE(115), 2, - sym_dotted_name, - sym__identifier, - STATE(130), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(557), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_POUND, + ACTIONS(591), 3, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(2447), 4, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(167), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [28595] = 24, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(2451), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(2433), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(589), 7, + anon_sym_COMMA, + anon_sym_RPAREN, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + [95370] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(535), 1, - aux_sym_sequence_token2, - ACTIONS(537), 1, - aux_sym_null_hint_token3, - ACTIONS(539), 1, - anon_sym_LPAREN, - ACTIONS(541), 1, - aux_sym_TRUE_token1, - ACTIONS(543), 1, - aux_sym_FALSE_token1, - ACTIONS(545), 1, - aux_sym_number_token1, - ACTIONS(547), 1, - sym__unquoted_identifier, - ACTIONS(549), 1, - anon_sym_BQUOTE, - ACTIONS(551), 1, - anon_sym_DQUOTE, - ACTIONS(553), 1, - anon_sym_SQUOTE, - ACTIONS(555), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(559), 1, + ACTIONS(541), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(561), 1, - anon_sym_STAR, - ACTIONS(563), 1, - aux_sym_interval_expression_token1, - ACTIONS(565), 1, - anon_sym_DOLLAR, - STATE(13), 1, - sym_identifier, - STATE(23), 1, - sym__quoted_identifier, - STATE(113), 1, - sym_argument_reference, - STATE(141), 1, - sym__expression, - STATE(115), 2, - sym_dotted_name, - sym__identifier, - STATE(130), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(557), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(167), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [28690] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(539), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [95414] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, + ACTIONS(571), 18, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(481), 1, anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, - anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(904), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(573), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [28785] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [95458] = 14, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, - anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(918), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, + ACTIONS(2429), 1, + aux_sym_cte_token2, + ACTIONS(2431), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(2435), 1, + aux_sym_is_expression_token1, + ACTIONS(2441), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(2449), 1, + anon_sym_CARET, + ACTIONS(2453), 1, + anon_sym_SLASH, + ACTIONS(2443), 2, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_PIPE, + ACTIONS(2445), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(591), 3, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(2447), 4, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [28880] = 24, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(2451), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(2433), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(589), 8, + anon_sym_COMMA, + anon_sym_RPAREN, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_boolean_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + [95524] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(844), 1, - aux_sym_sequence_token2, - ACTIONS(846), 1, - aux_sym_null_hint_token3, - ACTIONS(848), 1, - anon_sym_LPAREN, - ACTIONS(850), 1, - aux_sym_TRUE_token1, - ACTIONS(852), 1, - aux_sym_FALSE_token1, - ACTIONS(854), 1, - aux_sym_number_token1, - ACTIONS(856), 1, - sym__unquoted_identifier, - ACTIONS(858), 1, + ACTIONS(563), 18, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(860), 1, anon_sym_DQUOTE, - ACTIONS(862), 1, - anon_sym_SQUOTE, - ACTIONS(864), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(868), 1, - anon_sym_DASH, - ACTIONS(870), 1, - anon_sym_STAR, - ACTIONS(872), 1, - aux_sym_interval_expression_token1, - ACTIONS(874), 1, - anon_sym_DOLLAR, - STATE(520), 1, - sym_identifier, - STATE(607), 1, - sym__quoted_identifier, - STATE(693), 1, - sym_argument_reference, - STATE(712), 1, - sym__expression, - STATE(691), 2, - sym_dotted_name, - sym__identifier, - STATE(692), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(866), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(565), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(703), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [28975] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [95568] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(780), 1, - aux_sym_sequence_token2, - ACTIONS(782), 1, - aux_sym_null_hint_token3, - ACTIONS(784), 1, - anon_sym_LPAREN, - ACTIONS(786), 1, - aux_sym_TRUE_token1, - ACTIONS(788), 1, - aux_sym_FALSE_token1, - ACTIONS(790), 1, - aux_sym_number_token1, - ACTIONS(792), 1, + ACTIONS(2317), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(561), 17, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, sym__unquoted_identifier, - ACTIONS(794), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(559), 18, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(796), 1, anon_sym_DQUOTE, - ACTIONS(798), 1, - anon_sym_SQUOTE, - ACTIONS(800), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(804), 1, - anon_sym_DASH, - ACTIONS(806), 1, - anon_sym_STAR, - ACTIONS(808), 1, - aux_sym_interval_expression_token1, - ACTIONS(810), 1, - anon_sym_DOLLAR, - STATE(180), 1, - sym_identifier, - STATE(208), 1, - sym__quoted_identifier, - STATE(477), 1, - sym_argument_reference, - STATE(506), 1, - sym__expression, - STATE(476), 2, - sym_dotted_name, - sym__identifier, - STATE(474), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(802), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(519), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [29070] = 24, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [95614] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, + ACTIONS(2317), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(557), 17, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, sym__unquoted_identifier, - ACTIONS(479), 1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(555), 18, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(481), 1, anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, - anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(870), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [29165] = 24, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [95660] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, + ACTIONS(595), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(625), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [29260] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(593), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [95704] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(876), 1, - aux_sym_sequence_token2, - ACTIONS(878), 1, - aux_sym_null_hint_token3, - ACTIONS(880), 1, - anon_sym_LPAREN, - ACTIONS(882), 1, - aux_sym_TRUE_token1, - ACTIONS(884), 1, - aux_sym_FALSE_token1, - ACTIONS(886), 1, - aux_sym_number_token1, - ACTIONS(888), 1, - sym__unquoted_identifier, - ACTIONS(890), 1, + ACTIONS(408), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(406), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [95748] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(338), 18, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(892), 1, anon_sym_DQUOTE, - ACTIONS(894), 1, - anon_sym_SQUOTE, - ACTIONS(896), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(900), 1, - anon_sym_DASH, - ACTIONS(902), 1, - anon_sym_STAR, - ACTIONS(904), 1, - aux_sym_interval_expression_token1, - ACTIONS(906), 1, - anon_sym_DOLLAR, - STATE(51), 1, - sym_identifier, - STATE(138), 1, - sym__quoted_identifier, - STATE(183), 1, - sym_argument_reference, - STATE(190), 1, - sym__expression, - STATE(178), 2, - sym_dotted_name, - sym__identifier, - STATE(177), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(898), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(340), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(202), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [29355] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [95792] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(812), 1, - aux_sym_sequence_token2, - ACTIONS(814), 1, - aux_sym_null_hint_token3, - ACTIONS(816), 1, - anon_sym_LPAREN, - ACTIONS(818), 1, - aux_sym_TRUE_token1, - ACTIONS(820), 1, - aux_sym_FALSE_token1, - ACTIONS(822), 1, - aux_sym_number_token1, - ACTIONS(824), 1, - sym__unquoted_identifier, - ACTIONS(826), 1, + ACTIONS(625), 18, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(828), 1, anon_sym_DQUOTE, - ACTIONS(830), 1, - anon_sym_SQUOTE, - ACTIONS(832), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(836), 1, - anon_sym_DASH, - ACTIONS(838), 1, - anon_sym_STAR, - ACTIONS(840), 1, - aux_sym_interval_expression_token1, - ACTIONS(842), 1, - anon_sym_DOLLAR, - STATE(669), 1, - sym_identifier, - STATE(683), 1, - sym__quoted_identifier, - STATE(808), 1, - sym_argument_reference, - STATE(839), 1, - sym__expression, - STATE(805), 2, - sym_dotted_name, - sym__identifier, - STATE(800), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(834), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(627), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(813), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [29450] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [95836] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, + ACTIONS(314), 18, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(481), 1, anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, - anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(944), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(316), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [29545] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [95880] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(812), 1, - aux_sym_sequence_token2, - ACTIONS(814), 1, - aux_sym_null_hint_token3, - ACTIONS(816), 1, - anon_sym_LPAREN, - ACTIONS(818), 1, - aux_sym_TRUE_token1, - ACTIONS(820), 1, - aux_sym_FALSE_token1, - ACTIONS(822), 1, - aux_sym_number_token1, - ACTIONS(824), 1, - sym__unquoted_identifier, - ACTIONS(826), 1, + ACTIONS(629), 18, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(828), 1, anon_sym_DQUOTE, - ACTIONS(830), 1, - anon_sym_SQUOTE, - ACTIONS(832), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(836), 1, - anon_sym_DASH, - ACTIONS(838), 1, - anon_sym_STAR, - ACTIONS(840), 1, - aux_sym_interval_expression_token1, - ACTIONS(842), 1, - anon_sym_DOLLAR, - STATE(669), 1, - sym_identifier, - STATE(683), 1, - sym__quoted_identifier, - STATE(808), 1, - sym_argument_reference, - STATE(833), 1, - sym__expression, - STATE(805), 2, - sym_dotted_name, - sym__identifier, - STATE(800), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(834), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(631), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(813), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [29640] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [95924] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(716), 1, - aux_sym_sequence_token2, - ACTIONS(718), 1, - aux_sym_null_hint_token3, - ACTIONS(720), 1, - anon_sym_LPAREN, - ACTIONS(722), 1, - aux_sym_TRUE_token1, - ACTIONS(724), 1, - aux_sym_FALSE_token1, - ACTIONS(726), 1, - aux_sym_number_token1, - ACTIONS(728), 1, - sym__unquoted_identifier, - ACTIONS(730), 1, + ACTIONS(633), 18, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(732), 1, anon_sym_DQUOTE, - ACTIONS(734), 1, - anon_sym_SQUOTE, - ACTIONS(736), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(740), 1, - anon_sym_DASH, - ACTIONS(742), 1, - anon_sym_STAR, - ACTIONS(744), 1, - aux_sym_interval_expression_token1, - ACTIONS(746), 1, - anon_sym_DOLLAR, - STATE(3), 1, - sym__quoted_identifier, - STATE(175), 1, - sym_identifier, - STATE(452), 1, - sym_argument_reference, - STATE(468), 1, - sym__expression, - STATE(451), 2, - sym_dotted_name, - sym__identifier, - STATE(450), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(738), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(635), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(458), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [29735] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [95968] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(812), 1, - aux_sym_sequence_token2, - ACTIONS(814), 1, - aux_sym_null_hint_token3, - ACTIONS(816), 1, - anon_sym_LPAREN, - ACTIONS(818), 1, - aux_sym_TRUE_token1, - ACTIONS(820), 1, - aux_sym_FALSE_token1, - ACTIONS(822), 1, - aux_sym_number_token1, - ACTIONS(824), 1, - sym__unquoted_identifier, - ACTIONS(826), 1, + ACTIONS(295), 18, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(828), 1, anon_sym_DQUOTE, - ACTIONS(830), 1, - anon_sym_SQUOTE, - ACTIONS(832), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(836), 1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(297), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [96012] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(553), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(838), 1, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(551), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, anon_sym_STAR, - ACTIONS(840), 1, - aux_sym_interval_expression_token1, - ACTIONS(842), 1, - anon_sym_DOLLAR, - STATE(669), 1, - sym_identifier, - STATE(683), 1, - sym__quoted_identifier, - STATE(808), 1, - sym_argument_reference, - STATE(832), 1, - sym__expression, - STATE(805), 2, - sym_dotted_name, - sym__identifier, - STATE(800), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(834), 6, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [96056] = 9, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2441), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(2449), 1, + anon_sym_CARET, + ACTIONS(2453), 1, + anon_sym_SLASH, + ACTIONS(2443), 2, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_PIPE, + ACTIONS(2445), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(2451), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(583), 7, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(813), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [29830] = 24, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(581), 17, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [96112] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(599), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(597), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [96156] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, + ACTIONS(2422), 1, + anon_sym_COLON_COLON, + ACTIONS(340), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(929), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [29925] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(338), 25, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [96202] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(684), 1, - aux_sym_sequence_token2, - ACTIONS(686), 1, - aux_sym_null_hint_token3, - ACTIONS(688), 1, - anon_sym_LPAREN, - ACTIONS(690), 1, - aux_sym_TRUE_token1, - ACTIONS(692), 1, - aux_sym_FALSE_token1, - ACTIONS(694), 1, - aux_sym_number_token1, - ACTIONS(696), 1, - sym__unquoted_identifier, - ACTIONS(698), 1, + ACTIONS(601), 18, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(700), 1, anon_sym_DQUOTE, - ACTIONS(702), 1, - anon_sym_SQUOTE, - ACTIONS(704), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(708), 1, - anon_sym_DASH, - ACTIONS(710), 1, - anon_sym_STAR, - ACTIONS(712), 1, - aux_sym_interval_expression_token1, - ACTIONS(714), 1, - anon_sym_DOLLAR, - STATE(7), 1, - sym_identifier, - STATE(16), 1, - sym__quoted_identifier, - STATE(55), 1, - sym_argument_reference, - STATE(646), 1, - sym__expression, - STATE(53), 2, - sym_dotted_name, - sym__identifier, - STATE(52), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(706), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(603), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(137), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [30020] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [96246] = 17, ACTIONS(3), 1, sym_comment, - ACTIONS(780), 1, - aux_sym_sequence_token2, - ACTIONS(782), 1, - aux_sym_null_hint_token3, - ACTIONS(784), 1, - anon_sym_LPAREN, - ACTIONS(786), 1, - aux_sym_TRUE_token1, - ACTIONS(788), 1, - aux_sym_FALSE_token1, - ACTIONS(790), 1, - aux_sym_number_token1, - ACTIONS(792), 1, - sym__unquoted_identifier, - ACTIONS(794), 1, - anon_sym_BQUOTE, - ACTIONS(796), 1, - anon_sym_DQUOTE, - ACTIONS(798), 1, - anon_sym_SQUOTE, - ACTIONS(800), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(804), 1, - anon_sym_DASH, - ACTIONS(806), 1, - anon_sym_STAR, - ACTIONS(808), 1, - aux_sym_interval_expression_token1, - ACTIONS(810), 1, - anon_sym_DOLLAR, - STATE(180), 1, - sym_identifier, - STATE(208), 1, - sym__quoted_identifier, - STATE(477), 1, - sym_argument_reference, - STATE(508), 1, - sym__expression, - STATE(476), 2, - sym_dotted_name, - sym__identifier, - STATE(474), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(802), 6, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, + aux_sym_boolean_expression_token2, + ACTIONS(1271), 2, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(519), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [30115] = 24, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1201), 5, + anon_sym_COMMA, + anon_sym_RPAREN, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_limit_clause_token1, + ACTIONS(1243), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(1265), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [96318] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(35), 1, - aux_sym_sequence_token2, - ACTIONS(37), 1, - aux_sym_null_hint_token3, - ACTIONS(39), 1, - anon_sym_LPAREN, - ACTIONS(41), 1, - aux_sym_TRUE_token1, - ACTIONS(43), 1, - aux_sym_FALSE_token1, - ACTIONS(45), 1, - aux_sym_number_token1, - ACTIONS(47), 1, - sym__unquoted_identifier, - ACTIONS(49), 1, - anon_sym_BQUOTE, - ACTIONS(51), 1, - anon_sym_DQUOTE, - ACTIONS(53), 1, - anon_sym_SQUOTE, - ACTIONS(55), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(59), 1, + ACTIONS(282), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(61), 1, - anon_sym_STAR, - ACTIONS(63), 1, - aux_sym_interval_expression_token1, - ACTIONS(65), 1, - anon_sym_DOLLAR, - STATE(8), 1, - sym_identifier, - STATE(14), 1, - sym__quoted_identifier, - STATE(68), 1, - sym_argument_reference, - STATE(123), 1, - sym__expression, - STATE(67), 2, - sym_dotted_name, - sym__identifier, - STATE(66), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(57), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(110), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [30210] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(280), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [96362] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, + ACTIONS(242), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(928), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [30305] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(238), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [96406] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(535), 1, - aux_sym_sequence_token2, - ACTIONS(537), 1, - aux_sym_null_hint_token3, - ACTIONS(539), 1, - anon_sym_LPAREN, - ACTIONS(541), 1, - aux_sym_TRUE_token1, - ACTIONS(543), 1, - aux_sym_FALSE_token1, - ACTIONS(545), 1, - aux_sym_number_token1, - ACTIONS(547), 1, - sym__unquoted_identifier, - ACTIONS(549), 1, - anon_sym_BQUOTE, - ACTIONS(551), 1, - anon_sym_DQUOTE, - ACTIONS(553), 1, - anon_sym_SQUOTE, - ACTIONS(555), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(559), 1, + ACTIONS(293), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(561), 1, - anon_sym_STAR, - ACTIONS(563), 1, - aux_sym_interval_expression_token1, - ACTIONS(565), 1, - anon_sym_DOLLAR, - STATE(13), 1, - sym_identifier, - STATE(23), 1, - sym__quoted_identifier, - STATE(113), 1, - sym_argument_reference, - STATE(165), 1, - sym__expression, - STATE(115), 2, - sym_dotted_name, - sym__identifier, - STATE(130), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(557), 6, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(291), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [96450] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(457), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(167), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [30400] = 5, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(455), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [96494] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(911), 1, - anon_sym_DOT, - STATE(261), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(85), 21, - anon_sym_EQ, - anon_sym_LPAREN, + ACTIONS(195), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(191), 26, anon_sym_COMMA, + aux_sym_cte_token2, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_LBRACK, anon_sym_PLUS, anon_sym_CARET, @@ -39166,3692 +107796,2559 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(87), 22, - aux_sym_sequence_token2, - aux_sym_sequence_token3, + [96538] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(248), 10, aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [30457] = 24, - ACTIONS(3), 1, - sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, - anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(876), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, + ACTIONS(246), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [30552] = 24, - ACTIONS(3), 1, - sym_comment, - ACTIONS(876), 1, - aux_sym_sequence_token2, - ACTIONS(878), 1, - aux_sym_null_hint_token3, - ACTIONS(880), 1, - anon_sym_LPAREN, - ACTIONS(882), 1, - aux_sym_TRUE_token1, - ACTIONS(884), 1, - aux_sym_FALSE_token1, - ACTIONS(886), 1, - aux_sym_number_token1, - ACTIONS(888), 1, - sym__unquoted_identifier, - ACTIONS(890), 1, - anon_sym_BQUOTE, - ACTIONS(892), 1, - anon_sym_DQUOTE, - ACTIONS(894), 1, - anon_sym_SQUOTE, - ACTIONS(896), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(900), 1, - anon_sym_DASH, - ACTIONS(902), 1, + anon_sym_CARET, anon_sym_STAR, - ACTIONS(904), 1, - aux_sym_interval_expression_token1, - ACTIONS(906), 1, - anon_sym_DOLLAR, - STATE(51), 1, - sym_identifier, - STATE(138), 1, - sym__quoted_identifier, - STATE(183), 1, - sym_argument_reference, - STATE(199), 1, - sym__expression, - STATE(178), 2, - sym_dotted_name, - sym__identifier, - STATE(177), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(898), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(202), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [30647] = 24, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [96582] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(684), 1, - aux_sym_sequence_token2, - ACTIONS(686), 1, - aux_sym_null_hint_token3, - ACTIONS(688), 1, - anon_sym_LPAREN, - ACTIONS(690), 1, - aux_sym_TRUE_token1, - ACTIONS(692), 1, - aux_sym_FALSE_token1, - ACTIONS(694), 1, - aux_sym_number_token1, - ACTIONS(696), 1, - sym__unquoted_identifier, - ACTIONS(698), 1, - anon_sym_BQUOTE, - ACTIONS(700), 1, - anon_sym_DQUOTE, - ACTIONS(702), 1, - anon_sym_SQUOTE, - ACTIONS(704), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(708), 1, + ACTIONS(639), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(710), 1, - anon_sym_STAR, - ACTIONS(712), 1, - aux_sym_interval_expression_token1, - ACTIONS(714), 1, - anon_sym_DOLLAR, - STATE(7), 1, - sym_identifier, - STATE(16), 1, - sym__quoted_identifier, - STATE(55), 1, - sym_argument_reference, - STATE(627), 1, - sym__expression, - STATE(53), 2, - sym_dotted_name, - sym__identifier, - STATE(52), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(706), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(137), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [30742] = 24, - ACTIONS(3), 1, - sym_comment, - ACTIONS(876), 1, - aux_sym_sequence_token2, - ACTIONS(878), 1, - aux_sym_null_hint_token3, - ACTIONS(880), 1, - anon_sym_LPAREN, - ACTIONS(882), 1, - aux_sym_TRUE_token1, - ACTIONS(884), 1, - aux_sym_FALSE_token1, - ACTIONS(886), 1, - aux_sym_number_token1, - ACTIONS(888), 1, - sym__unquoted_identifier, - ACTIONS(890), 1, - anon_sym_BQUOTE, - ACTIONS(892), 1, - anon_sym_DQUOTE, - ACTIONS(894), 1, - anon_sym_SQUOTE, - ACTIONS(896), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(900), 1, - anon_sym_DASH, - ACTIONS(902), 1, - anon_sym_STAR, - ACTIONS(904), 1, - aux_sym_interval_expression_token1, - ACTIONS(906), 1, - anon_sym_DOLLAR, - STATE(51), 1, - sym_identifier, - STATE(138), 1, - sym__quoted_identifier, - STATE(183), 1, - sym_argument_reference, - STATE(207), 1, - sym__expression, - STATE(178), 2, - sym_dotted_name, - sym__identifier, - STATE(177), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(898), 6, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(637), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(202), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [30837] = 24, - ACTIONS(3), 1, - sym_comment, - ACTIONS(876), 1, - aux_sym_sequence_token2, - ACTIONS(878), 1, - aux_sym_null_hint_token3, - ACTIONS(880), 1, - anon_sym_LPAREN, - ACTIONS(882), 1, - aux_sym_TRUE_token1, - ACTIONS(884), 1, - aux_sym_FALSE_token1, - ACTIONS(886), 1, - aux_sym_number_token1, - ACTIONS(888), 1, - sym__unquoted_identifier, - ACTIONS(890), 1, - anon_sym_BQUOTE, - ACTIONS(892), 1, - anon_sym_DQUOTE, - ACTIONS(894), 1, - anon_sym_SQUOTE, - ACTIONS(896), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(900), 1, - anon_sym_DASH, - ACTIONS(902), 1, + anon_sym_CARET, anon_sym_STAR, - ACTIONS(904), 1, - aux_sym_interval_expression_token1, - ACTIONS(906), 1, - anon_sym_DOLLAR, - STATE(51), 1, - sym_identifier, - STATE(138), 1, - sym__quoted_identifier, - STATE(183), 1, - sym_argument_reference, - STATE(226), 1, - sym__expression, - STATE(178), 2, - sym_dotted_name, - sym__identifier, - STATE(177), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(898), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(202), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [30932] = 24, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [96626] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(876), 1, - aux_sym_sequence_token2, - ACTIONS(878), 1, - aux_sym_null_hint_token3, - ACTIONS(880), 1, - anon_sym_LPAREN, - ACTIONS(882), 1, - aux_sym_TRUE_token1, - ACTIONS(884), 1, - aux_sym_FALSE_token1, - ACTIONS(886), 1, - aux_sym_number_token1, - ACTIONS(888), 1, - sym__unquoted_identifier, - ACTIONS(890), 1, - anon_sym_BQUOTE, - ACTIONS(892), 1, - anon_sym_DQUOTE, - ACTIONS(894), 1, - anon_sym_SQUOTE, - ACTIONS(896), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(900), 1, + ACTIONS(213), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(902), 1, - anon_sym_STAR, - ACTIONS(904), 1, - aux_sym_interval_expression_token1, - ACTIONS(906), 1, - anon_sym_DOLLAR, - STATE(51), 1, - sym_identifier, - STATE(138), 1, - sym__quoted_identifier, - STATE(183), 1, - sym_argument_reference, - STATE(234), 1, - sym__expression, - STATE(178), 2, - sym_dotted_name, - sym__identifier, - STATE(177), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(898), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(202), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [31027] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(209), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [96670] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(684), 1, - aux_sym_sequence_token2, - ACTIONS(686), 1, - aux_sym_null_hint_token3, - ACTIONS(688), 1, - anon_sym_LPAREN, - ACTIONS(690), 1, - aux_sym_TRUE_token1, - ACTIONS(692), 1, - aux_sym_FALSE_token1, - ACTIONS(694), 1, - aux_sym_number_token1, - ACTIONS(696), 1, - sym__unquoted_identifier, - ACTIONS(698), 1, - anon_sym_BQUOTE, - ACTIONS(700), 1, - anon_sym_DQUOTE, - ACTIONS(702), 1, - anon_sym_SQUOTE, - ACTIONS(704), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(708), 1, + ACTIONS(260), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(710), 1, - anon_sym_STAR, - ACTIONS(712), 1, - aux_sym_interval_expression_token1, - ACTIONS(714), 1, - anon_sym_DOLLAR, - STATE(7), 1, - sym_identifier, - STATE(16), 1, - sym__quoted_identifier, - STATE(55), 1, - sym_argument_reference, - STATE(588), 1, - sym__expression, - STATE(53), 2, - sym_dotted_name, - sym__identifier, - STATE(52), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(706), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(137), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [31122] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(258), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [96714] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(876), 1, - aux_sym_sequence_token2, - ACTIONS(878), 1, - aux_sym_null_hint_token3, - ACTIONS(880), 1, - anon_sym_LPAREN, - ACTIONS(882), 1, - aux_sym_TRUE_token1, - ACTIONS(884), 1, - aux_sym_FALSE_token1, - ACTIONS(886), 1, - aux_sym_number_token1, - ACTIONS(888), 1, - sym__unquoted_identifier, - ACTIONS(890), 1, - anon_sym_BQUOTE, - ACTIONS(892), 1, - anon_sym_DQUOTE, - ACTIONS(894), 1, - anon_sym_SQUOTE, - ACTIONS(896), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(900), 1, + ACTIONS(256), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(902), 1, - anon_sym_STAR, - ACTIONS(904), 1, - aux_sym_interval_expression_token1, - ACTIONS(906), 1, - anon_sym_DOLLAR, - STATE(51), 1, - sym_identifier, - STATE(138), 1, - sym__quoted_identifier, - STATE(183), 1, - sym_argument_reference, - STATE(220), 1, - sym__expression, - STATE(178), 2, - sym_dotted_name, - sym__identifier, - STATE(177), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(898), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(202), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [31217] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(254), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [96758] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(716), 1, - aux_sym_sequence_token2, - ACTIONS(718), 1, - aux_sym_null_hint_token3, - ACTIONS(720), 1, - anon_sym_LPAREN, - ACTIONS(722), 1, - aux_sym_TRUE_token1, - ACTIONS(724), 1, - aux_sym_FALSE_token1, - ACTIONS(726), 1, - aux_sym_number_token1, - ACTIONS(728), 1, - sym__unquoted_identifier, - ACTIONS(730), 1, - anon_sym_BQUOTE, - ACTIONS(732), 1, - anon_sym_DQUOTE, - ACTIONS(734), 1, - anon_sym_SQUOTE, - ACTIONS(736), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(740), 1, + ACTIONS(252), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(742), 1, - anon_sym_STAR, - ACTIONS(744), 1, - aux_sym_interval_expression_token1, - ACTIONS(746), 1, - anon_sym_DOLLAR, - STATE(3), 1, - sym__quoted_identifier, - STATE(175), 1, - sym_identifier, - STATE(452), 1, - sym_argument_reference, - STATE(495), 1, - sym__expression, - STATE(451), 2, - sym_dotted_name, - sym__identifier, - STATE(450), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(738), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(458), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [31312] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(250), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [96802] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(535), 1, - aux_sym_sequence_token2, - ACTIONS(537), 1, - aux_sym_null_hint_token3, - ACTIONS(539), 1, - anon_sym_LPAREN, - ACTIONS(541), 1, - aux_sym_TRUE_token1, - ACTIONS(543), 1, - aux_sym_FALSE_token1, - ACTIONS(545), 1, - aux_sym_number_token1, - ACTIONS(547), 1, - sym__unquoted_identifier, - ACTIONS(549), 1, - anon_sym_BQUOTE, - ACTIONS(551), 1, - anon_sym_DQUOTE, - ACTIONS(553), 1, - anon_sym_SQUOTE, - ACTIONS(555), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(559), 1, + ACTIONS(309), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(561), 1, - anon_sym_STAR, - ACTIONS(563), 1, - aux_sym_interval_expression_token1, - ACTIONS(565), 1, - anon_sym_DOLLAR, - STATE(13), 1, - sym_identifier, - STATE(23), 1, - sym__quoted_identifier, - STATE(113), 1, - sym_argument_reference, - STATE(166), 1, - sym__expression, - STATE(115), 2, - sym_dotted_name, - sym__identifier, - STATE(130), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(557), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(167), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [31407] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(307), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [96846] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(876), 1, - aux_sym_sequence_token2, - ACTIONS(878), 1, - aux_sym_null_hint_token3, - ACTIONS(880), 1, - anon_sym_LPAREN, - ACTIONS(882), 1, - aux_sym_TRUE_token1, - ACTIONS(884), 1, - aux_sym_FALSE_token1, - ACTIONS(886), 1, - aux_sym_number_token1, - ACTIONS(888), 1, - sym__unquoted_identifier, - ACTIONS(890), 1, - anon_sym_BQUOTE, - ACTIONS(892), 1, - anon_sym_DQUOTE, - ACTIONS(894), 1, - anon_sym_SQUOTE, - ACTIONS(896), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(900), 1, + ACTIONS(272), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(902), 1, - anon_sym_STAR, - ACTIONS(904), 1, - aux_sym_interval_expression_token1, - ACTIONS(906), 1, - anon_sym_DOLLAR, - STATE(51), 1, - sym_identifier, - STATE(138), 1, - sym__quoted_identifier, - STATE(183), 1, - sym_argument_reference, - STATE(217), 1, - sym__expression, - STATE(178), 2, - sym_dotted_name, - sym__identifier, - STATE(177), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(898), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(202), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [31502] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(270), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [96890] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, + ACTIONS(286), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(922), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [31597] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(284), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [96934] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(620), 1, - aux_sym_sequence_token2, - ACTIONS(622), 1, - aux_sym_null_hint_token3, - ACTIONS(624), 1, - anon_sym_LPAREN, - ACTIONS(626), 1, - aux_sym_TRUE_token1, - ACTIONS(628), 1, - aux_sym_FALSE_token1, - ACTIONS(630), 1, - aux_sym_number_token1, - ACTIONS(632), 1, - sym__unquoted_identifier, - ACTIONS(634), 1, - anon_sym_BQUOTE, - ACTIONS(636), 1, - anon_sym_DQUOTE, - ACTIONS(638), 1, - anon_sym_SQUOTE, - ACTIONS(640), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(644), 1, + ACTIONS(316), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(646), 1, - anon_sym_STAR, - ACTIONS(648), 1, - aux_sym_interval_expression_token1, - ACTIONS(650), 1, - anon_sym_DOLLAR, - STATE(668), 1, - sym_identifier, - STATE(675), 1, - sym__quoted_identifier, - STATE(792), 1, - sym_argument_reference, - STATE(903), 1, - sym__expression, - STATE(785), 2, - sym_dotted_name, - sym__identifier, - STATE(804), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(642), 6, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(314), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [96978] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(615), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(864), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [31692] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(613), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [97022] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(684), 1, - aux_sym_sequence_token2, - ACTIONS(686), 1, - aux_sym_null_hint_token3, - ACTIONS(688), 1, - anon_sym_LPAREN, - ACTIONS(690), 1, - aux_sym_TRUE_token1, - ACTIONS(692), 1, - aux_sym_FALSE_token1, - ACTIONS(694), 1, - aux_sym_number_token1, - ACTIONS(696), 1, - sym__unquoted_identifier, - ACTIONS(698), 1, - anon_sym_BQUOTE, - ACTIONS(700), 1, - anon_sym_DQUOTE, - ACTIONS(702), 1, - anon_sym_SQUOTE, - ACTIONS(704), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(708), 1, + ACTIONS(537), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(710), 1, - anon_sym_STAR, - ACTIONS(712), 1, - aux_sym_interval_expression_token1, - ACTIONS(714), 1, - anon_sym_DOLLAR, - STATE(7), 1, - sym_identifier, - STATE(16), 1, - sym__quoted_identifier, - STATE(55), 1, - sym_argument_reference, - STATE(132), 1, - sym__expression, - STATE(53), 2, - sym_dotted_name, - sym__identifier, - STATE(52), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(706), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(137), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [31787] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(535), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [97066] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(716), 1, - aux_sym_sequence_token2, - ACTIONS(718), 1, - aux_sym_null_hint_token3, - ACTIONS(720), 1, - anon_sym_LPAREN, - ACTIONS(722), 1, - aux_sym_TRUE_token1, - ACTIONS(724), 1, - aux_sym_FALSE_token1, - ACTIONS(726), 1, - aux_sym_number_token1, - ACTIONS(728), 1, - sym__unquoted_identifier, - ACTIONS(730), 1, - anon_sym_BQUOTE, - ACTIONS(732), 1, - anon_sym_DQUOTE, - ACTIONS(734), 1, - anon_sym_SQUOTE, - ACTIONS(736), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(740), 1, + ACTIONS(83), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(742), 1, - anon_sym_STAR, - ACTIONS(744), 1, - aux_sym_interval_expression_token1, - ACTIONS(746), 1, - anon_sym_DOLLAR, - STATE(3), 1, - sym__quoted_identifier, - STATE(175), 1, - sym_identifier, - STATE(452), 1, - sym_argument_reference, - STATE(486), 1, - sym__expression, - STATE(451), 2, - sym_dotted_name, - sym__identifier, - STATE(450), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(738), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(458), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [31882] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(81), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LBRACK, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [97110] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(716), 1, - aux_sym_sequence_token2, - ACTIONS(718), 1, - aux_sym_null_hint_token3, - ACTIONS(720), 1, - anon_sym_LPAREN, - ACTIONS(722), 1, - aux_sym_TRUE_token1, - ACTIONS(724), 1, - aux_sym_FALSE_token1, - ACTIONS(726), 1, - aux_sym_number_token1, - ACTIONS(728), 1, - sym__unquoted_identifier, - ACTIONS(730), 1, - anon_sym_BQUOTE, - ACTIONS(732), 1, - anon_sym_DQUOTE, - ACTIONS(734), 1, - anon_sym_SQUOTE, - ACTIONS(736), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(740), 1, + ACTIONS(573), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(742), 1, - anon_sym_STAR, - ACTIONS(744), 1, - aux_sym_interval_expression_token1, - ACTIONS(746), 1, - anon_sym_DOLLAR, - STATE(3), 1, - sym__quoted_identifier, - STATE(175), 1, - sym_identifier, - STATE(452), 1, - sym_argument_reference, - STATE(485), 1, - sym__expression, - STATE(451), 2, - sym_dotted_name, - sym__identifier, - STATE(450), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(738), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(458), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [31977] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(571), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [97154] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(716), 1, + ACTIONS(286), 1, + aux_sym_sequence_token5, + ACTIONS(284), 35, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_alter_column_token3, aux_sym_sequence_token2, - ACTIONS(718), 1, + aux_sym_sequence_token3, + aux_sym_sequence_token8, + aux_sym_sequence_token9, + aux_sym_pg_command_token1, aux_sym_null_hint_token3, - ACTIONS(720), 1, - anon_sym_LPAREN, - ACTIONS(722), 1, - aux_sym_TRUE_token1, - ACTIONS(724), 1, - aux_sym_FALSE_token1, - ACTIONS(726), 1, - aux_sym_number_token1, - ACTIONS(728), 1, - sym__unquoted_identifier, - ACTIONS(730), 1, - anon_sym_BQUOTE, - ACTIONS(732), 1, - anon_sym_DQUOTE, - ACTIONS(734), 1, - anon_sym_SQUOTE, - ACTIONS(736), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(740), 1, - anon_sym_DASH, - ACTIONS(742), 1, - anon_sym_STAR, - ACTIONS(744), 1, - aux_sym_interval_expression_token1, - ACTIONS(746), 1, - anon_sym_DOLLAR, - STATE(3), 1, - sym__quoted_identifier, - STATE(175), 1, - sym_identifier, - STATE(452), 1, - sym_argument_reference, - STATE(484), 1, - sym__expression, - STATE(451), 2, - sym_dotted_name, - sym__identifier, - STATE(450), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(738), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(458), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [32072] = 24, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + anon_sym_LBRACK, + [97198] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(716), 1, + ACTIONS(272), 1, + aux_sym_sequence_token5, + ACTIONS(270), 35, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_alter_column_token3, aux_sym_sequence_token2, - ACTIONS(718), 1, + aux_sym_sequence_token3, + aux_sym_sequence_token8, + aux_sym_sequence_token9, + aux_sym_pg_command_token1, aux_sym_null_hint_token3, - ACTIONS(720), 1, - anon_sym_LPAREN, - ACTIONS(722), 1, - aux_sym_TRUE_token1, - ACTIONS(724), 1, - aux_sym_FALSE_token1, - ACTIONS(726), 1, - aux_sym_number_token1, - ACTIONS(728), 1, - sym__unquoted_identifier, - ACTIONS(730), 1, - anon_sym_BQUOTE, - ACTIONS(732), 1, - anon_sym_DQUOTE, - ACTIONS(734), 1, - anon_sym_SQUOTE, - ACTIONS(736), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(740), 1, - anon_sym_DASH, - ACTIONS(742), 1, - anon_sym_STAR, - ACTIONS(744), 1, - aux_sym_interval_expression_token1, - ACTIONS(746), 1, - anon_sym_DOLLAR, - STATE(3), 1, - sym__quoted_identifier, - STATE(175), 1, - sym_identifier, - STATE(452), 1, - sym_argument_reference, - STATE(483), 1, - sym__expression, - STATE(451), 2, - sym_dotted_name, - sym__identifier, - STATE(450), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(738), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(458), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [32167] = 24, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + anon_sym_LBRACK, + [97242] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(716), 1, + ACTIONS(309), 1, + aux_sym_sequence_token5, + ACTIONS(307), 35, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_alter_column_token3, aux_sym_sequence_token2, - ACTIONS(718), 1, + aux_sym_sequence_token3, + aux_sym_sequence_token8, + aux_sym_sequence_token9, + aux_sym_pg_command_token1, aux_sym_null_hint_token3, - ACTIONS(720), 1, - anon_sym_LPAREN, - ACTIONS(722), 1, - aux_sym_TRUE_token1, - ACTIONS(724), 1, - aux_sym_FALSE_token1, - ACTIONS(726), 1, - aux_sym_number_token1, - ACTIONS(728), 1, - sym__unquoted_identifier, - ACTIONS(730), 1, - anon_sym_BQUOTE, - ACTIONS(732), 1, - anon_sym_DQUOTE, - ACTIONS(734), 1, - anon_sym_SQUOTE, - ACTIONS(736), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(740), 1, - anon_sym_DASH, - ACTIONS(742), 1, - anon_sym_STAR, - ACTIONS(744), 1, - aux_sym_interval_expression_token1, - ACTIONS(746), 1, - anon_sym_DOLLAR, - STATE(3), 1, - sym__quoted_identifier, - STATE(175), 1, - sym_identifier, - STATE(452), 1, - sym_argument_reference, - STATE(482), 1, - sym__expression, - STATE(451), 2, - sym_dotted_name, - sym__identifier, - STATE(450), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(738), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(458), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [32262] = 24, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + anon_sym_LBRACK, + [97286] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(716), 1, + ACTIONS(252), 1, + aux_sym_sequence_token5, + ACTIONS(250), 35, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_alter_column_token3, aux_sym_sequence_token2, - ACTIONS(718), 1, + aux_sym_sequence_token3, + aux_sym_sequence_token8, + aux_sym_sequence_token9, + aux_sym_pg_command_token1, aux_sym_null_hint_token3, - ACTIONS(720), 1, - anon_sym_LPAREN, - ACTIONS(722), 1, - aux_sym_TRUE_token1, - ACTIONS(724), 1, - aux_sym_FALSE_token1, - ACTIONS(726), 1, - aux_sym_number_token1, - ACTIONS(728), 1, - sym__unquoted_identifier, - ACTIONS(730), 1, - anon_sym_BQUOTE, - ACTIONS(732), 1, - anon_sym_DQUOTE, - ACTIONS(734), 1, - anon_sym_SQUOTE, - ACTIONS(736), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(740), 1, - anon_sym_DASH, - ACTIONS(742), 1, - anon_sym_STAR, - ACTIONS(744), 1, - aux_sym_interval_expression_token1, - ACTIONS(746), 1, - anon_sym_DOLLAR, - STATE(3), 1, - sym__quoted_identifier, - STATE(175), 1, - sym_identifier, - STATE(452), 1, - sym_argument_reference, - STATE(480), 1, - sym__expression, - STATE(451), 2, - sym_dotted_name, - sym__identifier, - STATE(450), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(738), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(458), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [32357] = 24, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + anon_sym_LBRACK, + [97330] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, + ACTIONS(256), 1, + aux_sym_sequence_token5, + ACTIONS(254), 35, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_alter_column_token3, aux_sym_sequence_token2, - ACTIONS(465), 1, + aux_sym_sequence_token3, + aux_sym_sequence_token8, + aux_sym_sequence_token9, + aux_sym_pg_command_token1, aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, - anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(915), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [32452] = 24, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + anon_sym_LBRACK, + [97374] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(812), 1, + ACTIONS(260), 1, + aux_sym_sequence_token5, + ACTIONS(258), 35, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_alter_column_token3, aux_sym_sequence_token2, - ACTIONS(814), 1, + aux_sym_sequence_token3, + aux_sym_sequence_token8, + aux_sym_sequence_token9, + aux_sym_pg_command_token1, aux_sym_null_hint_token3, - ACTIONS(816), 1, - anon_sym_LPAREN, - ACTIONS(818), 1, - aux_sym_TRUE_token1, - ACTIONS(820), 1, - aux_sym_FALSE_token1, - ACTIONS(822), 1, - aux_sym_number_token1, - ACTIONS(824), 1, - sym__unquoted_identifier, - ACTIONS(826), 1, - anon_sym_BQUOTE, - ACTIONS(828), 1, - anon_sym_DQUOTE, - ACTIONS(830), 1, - anon_sym_SQUOTE, - ACTIONS(832), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(836), 1, - anon_sym_DASH, - ACTIONS(838), 1, - anon_sym_STAR, - ACTIONS(840), 1, - aux_sym_interval_expression_token1, - ACTIONS(842), 1, - anon_sym_DOLLAR, - STATE(669), 1, - sym_identifier, - STATE(683), 1, - sym__quoted_identifier, - STATE(808), 1, - sym_argument_reference, - STATE(818), 1, - sym__expression, - STATE(805), 2, - sym_dotted_name, - sym__identifier, - STATE(800), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(834), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(813), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [32547] = 24, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + anon_sym_LBRACK, + [97418] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(35), 1, + ACTIONS(213), 1, + aux_sym_sequence_token5, + ACTIONS(209), 35, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_alter_column_token3, aux_sym_sequence_token2, - ACTIONS(37), 1, + aux_sym_sequence_token3, + aux_sym_sequence_token8, + aux_sym_sequence_token9, + aux_sym_pg_command_token1, aux_sym_null_hint_token3, - ACTIONS(39), 1, - anon_sym_LPAREN, - ACTIONS(41), 1, - aux_sym_TRUE_token1, - ACTIONS(43), 1, - aux_sym_FALSE_token1, - ACTIONS(45), 1, - aux_sym_number_token1, - ACTIONS(47), 1, - sym__unquoted_identifier, - ACTIONS(49), 1, - anon_sym_BQUOTE, - ACTIONS(51), 1, - anon_sym_DQUOTE, - ACTIONS(53), 1, - anon_sym_SQUOTE, - ACTIONS(55), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(59), 1, - anon_sym_DASH, - ACTIONS(61), 1, - anon_sym_STAR, - ACTIONS(63), 1, - aux_sym_interval_expression_token1, - ACTIONS(65), 1, - anon_sym_DOLLAR, - STATE(8), 1, - sym_identifier, - STATE(14), 1, - sym__quoted_identifier, - STATE(68), 1, - sym_argument_reference, - STATE(117), 1, - sym__expression, - STATE(67), 2, - sym_dotted_name, - sym__identifier, - STATE(66), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(57), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(110), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [32642] = 24, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + anon_sym_LBRACK, + [97462] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(35), 1, - aux_sym_sequence_token2, - ACTIONS(37), 1, - aux_sym_null_hint_token3, - ACTIONS(39), 1, - anon_sym_LPAREN, - ACTIONS(41), 1, - aux_sym_TRUE_token1, - ACTIONS(43), 1, - aux_sym_FALSE_token1, - ACTIONS(45), 1, - aux_sym_number_token1, - ACTIONS(47), 1, - sym__unquoted_identifier, - ACTIONS(49), 1, - anon_sym_BQUOTE, - ACTIONS(51), 1, - anon_sym_DQUOTE, - ACTIONS(53), 1, - anon_sym_SQUOTE, - ACTIONS(55), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(59), 1, + ACTIONS(565), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(61), 1, - anon_sym_STAR, - ACTIONS(63), 1, - aux_sym_interval_expression_token1, - ACTIONS(65), 1, - anon_sym_DOLLAR, - STATE(8), 1, - sym_identifier, - STATE(14), 1, - sym__quoted_identifier, - STATE(68), 1, - sym_argument_reference, - STATE(116), 1, - sym__expression, - STATE(67), 2, - sym_dotted_name, - sym__identifier, - STATE(66), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(57), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(110), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [32737] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(563), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [97506] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, + ACTIONS(248), 1, + aux_sym_sequence_token5, + ACTIONS(246), 35, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_alter_column_token3, aux_sym_sequence_token2, - ACTIONS(465), 1, + aux_sym_sequence_token3, + aux_sym_sequence_token8, + aux_sym_sequence_token9, + aux_sym_pg_command_token1, aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, - anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(886), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [32832] = 24, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + anon_sym_LBRACK, + [97550] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, + ACTIONS(195), 1, + aux_sym_sequence_token5, + ACTIONS(191), 35, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_alter_column_token3, aux_sym_sequence_token2, - ACTIONS(465), 1, + aux_sym_sequence_token3, + aux_sym_sequence_token8, + aux_sym_sequence_token9, + aux_sym_pg_command_token1, aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, - anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(945), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [32927] = 24, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + anon_sym_LBRACK, + [97594] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(844), 1, + ACTIONS(293), 1, + aux_sym_sequence_token5, + ACTIONS(291), 35, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_alter_column_token3, aux_sym_sequence_token2, - ACTIONS(846), 1, + aux_sym_sequence_token3, + aux_sym_sequence_token8, + aux_sym_sequence_token9, + aux_sym_pg_command_token1, aux_sym_null_hint_token3, - ACTIONS(848), 1, - anon_sym_LPAREN, - ACTIONS(850), 1, - aux_sym_TRUE_token1, - ACTIONS(852), 1, - aux_sym_FALSE_token1, - ACTIONS(854), 1, - aux_sym_number_token1, - ACTIONS(856), 1, - sym__unquoted_identifier, - ACTIONS(858), 1, - anon_sym_BQUOTE, - ACTIONS(860), 1, - anon_sym_DQUOTE, - ACTIONS(862), 1, - anon_sym_SQUOTE, - ACTIONS(864), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(868), 1, - anon_sym_DASH, - ACTIONS(870), 1, - anon_sym_STAR, - ACTIONS(872), 1, - aux_sym_interval_expression_token1, - ACTIONS(874), 1, - anon_sym_DOLLAR, - STATE(520), 1, - sym_identifier, - STATE(607), 1, - sym__quoted_identifier, - STATE(693), 1, - sym_argument_reference, - STATE(696), 1, - sym__expression, - STATE(691), 2, - sym_dotted_name, - sym__identifier, - STATE(692), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(866), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(703), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [33022] = 24, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + anon_sym_LBRACK, + [97638] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(716), 1, + ACTIONS(242), 1, + aux_sym_sequence_token5, + ACTIONS(238), 35, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_alter_column_token3, aux_sym_sequence_token2, - ACTIONS(718), 1, + aux_sym_sequence_token3, + aux_sym_sequence_token8, + aux_sym_sequence_token9, + aux_sym_pg_command_token1, aux_sym_null_hint_token3, - ACTIONS(720), 1, - anon_sym_LPAREN, - ACTIONS(722), 1, - aux_sym_TRUE_token1, - ACTIONS(724), 1, - aux_sym_FALSE_token1, - ACTIONS(726), 1, - aux_sym_number_token1, - ACTIONS(728), 1, - sym__unquoted_identifier, - ACTIONS(730), 1, - anon_sym_BQUOTE, - ACTIONS(732), 1, - anon_sym_DQUOTE, - ACTIONS(734), 1, - anon_sym_SQUOTE, - ACTIONS(736), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(740), 1, - anon_sym_DASH, - ACTIONS(742), 1, - anon_sym_STAR, - ACTIONS(744), 1, - aux_sym_interval_expression_token1, - ACTIONS(746), 1, - anon_sym_DOLLAR, - STATE(3), 1, - sym__quoted_identifier, - STATE(175), 1, - sym_identifier, - STATE(452), 1, - sym_argument_reference, - STATE(469), 1, - sym__expression, - STATE(451), 2, - sym_dotted_name, - sym__identifier, - STATE(450), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(738), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(458), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [33117] = 24, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + anon_sym_LBRACK, + [97682] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, + ACTIONS(2441), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(561), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(891), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [33212] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(559), 25, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [97728] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(141), 1, + ACTIONS(282), 1, + aux_sym_sequence_token5, + ACTIONS(280), 35, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_alter_column_token3, aux_sym_sequence_token2, - ACTIONS(143), 1, + aux_sym_sequence_token3, + aux_sym_sequence_token8, + aux_sym_sequence_token9, + aux_sym_pg_command_token1, aux_sym_null_hint_token3, - ACTIONS(145), 1, - anon_sym_LPAREN, - ACTIONS(147), 1, - aux_sym_TRUE_token1, - ACTIONS(149), 1, - aux_sym_FALSE_token1, - ACTIONS(151), 1, - aux_sym_number_token1, - ACTIONS(153), 1, - sym__unquoted_identifier, - ACTIONS(155), 1, - anon_sym_BQUOTE, - ACTIONS(157), 1, - anon_sym_DQUOTE, - ACTIONS(159), 1, - anon_sym_SQUOTE, - ACTIONS(161), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(165), 1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + anon_sym_LBRACK, + [97772] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2441), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(557), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(167), 1, - anon_sym_STAR, - ACTIONS(169), 1, - aux_sym_interval_expression_token1, - ACTIONS(171), 1, - anon_sym_DOLLAR, - STATE(195), 1, - sym_identifier, - STATE(244), 1, - sym__quoted_identifier, - STATE(522), 1, - sym_argument_reference, - STATE(602), 1, - sym__expression, - STATE(524), 2, - sym_dotted_name, - sym__identifier, - STATE(525), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(163), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(603), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [33307] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(555), 25, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [97818] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, + ACTIONS(340), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(491), 1, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(338), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(914), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [97862] = 19, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2429), 1, + aux_sym_cte_token2, + ACTIONS(2431), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(2435), 1, + aux_sym_is_expression_token1, + ACTIONS(2437), 1, + aux_sym_boolean_expression_token1, + ACTIONS(2439), 1, + aux_sym_boolean_expression_token2, + ACTIONS(2441), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(2449), 1, + anon_sym_CARET, + ACTIONS(2453), 1, + anon_sym_SLASH, + ACTIONS(2461), 1, + anon_sym_COMMA, + STATE(2127), 1, + aux_sym_group_by_clause_body_repeat1, + ACTIONS(2443), 2, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_PIPE, + ACTIONS(2445), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(2463), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(2465), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1298), 3, + anon_sym_RPAREN, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + ACTIONS(2447), 4, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [33402] = 24, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(2451), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(2433), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [97938] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, + ACTIONS(627), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(577), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [33497] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(625), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [97982] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(876), 1, - aux_sym_sequence_token2, - ACTIONS(878), 1, - aux_sym_null_hint_token3, - ACTIONS(880), 1, - anon_sym_LPAREN, - ACTIONS(882), 1, - aux_sym_TRUE_token1, - ACTIONS(884), 1, - aux_sym_FALSE_token1, - ACTIONS(886), 1, - aux_sym_number_token1, - ACTIONS(888), 1, - sym__unquoted_identifier, - ACTIONS(890), 1, - anon_sym_BQUOTE, - ACTIONS(892), 1, - anon_sym_DQUOTE, - ACTIONS(894), 1, - anon_sym_SQUOTE, - ACTIONS(896), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(900), 1, + ACTIONS(631), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(902), 1, - anon_sym_STAR, - ACTIONS(904), 1, - aux_sym_interval_expression_token1, - ACTIONS(906), 1, - anon_sym_DOLLAR, - STATE(51), 1, - sym_identifier, - STATE(138), 1, - sym__quoted_identifier, - STATE(183), 1, - sym_argument_reference, - STATE(206), 1, - sym__expression, - STATE(178), 2, - sym_dotted_name, - sym__identifier, - STATE(177), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(898), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(202), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [33592] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(629), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [98026] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, + ACTIONS(635), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(931), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(633), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [98070] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(297), 10, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [33687] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(295), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_create_function_parameter_token1, + anon_sym_EQ, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [98114] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, + ACTIONS(597), 18, + anon_sym_EQ, anon_sym_BQUOTE, - ACTIONS(481), 1, anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, - anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(565), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(599), 18, + aux_sym_cte_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token2, + aux_sym_create_function_parameter_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + sym__unquoted_identifier, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [33782] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + [98158] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, + ACTIONS(461), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(566), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(459), 26, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_COLON_COLON, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [98202] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(340), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [33877] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(338), 25, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [98245] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, + ACTIONS(2469), 1, + aux_sym_null_hint_token2, + STATE(1512), 3, + sym_on_update_action, + sym_on_delete_action, + aux_sym_references_constraint_repeat1, + ACTIONS(2467), 31, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_pg_command_token1, aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + [98290] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(603), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(568), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [33972] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(601), 25, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [98333] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, + ACTIONS(623), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(491), 1, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(621), 25, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(916), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [98376] = 16, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2472), 1, + aux_sym_cte_token2, + ACTIONS(2474), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(2478), 1, + aux_sym_is_expression_token1, + ACTIONS(2480), 1, + aux_sym_boolean_expression_token1, + ACTIONS(2482), 1, + aux_sym_boolean_expression_token2, + ACTIONS(2484), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(2492), 1, + anon_sym_CARET, + ACTIONS(2496), 1, + anon_sym_SLASH, + ACTIONS(619), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(2486), 2, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_PIPE, + ACTIONS(2488), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(2490), 4, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [34067] = 24, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(2494), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(617), 6, + anon_sym_COMMA, + anon_sym_RPAREN, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(2476), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [98445] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, + ACTIONS(611), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(570), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [34162] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(609), 25, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [98488] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, + ACTIONS(607), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(572), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [34257] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(605), 25, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [98531] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, + ACTIONS(569), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(557), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(567), 25, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [98574] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(545), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [34352] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(543), 25, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [98617] = 17, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, - anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(880), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, + aux_sym_boolean_expression_token2, + ACTIONS(1271), 2, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [34447] = 24, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1489), 4, + anon_sym_COMMA, + anon_sym_RPAREN, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + ACTIONS(1243), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(1265), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [98688] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(876), 1, - aux_sym_sequence_token2, - ACTIONS(878), 1, - aux_sym_null_hint_token3, - ACTIONS(880), 1, - anon_sym_LPAREN, - ACTIONS(882), 1, - aux_sym_TRUE_token1, - ACTIONS(884), 1, - aux_sym_FALSE_token1, - ACTIONS(886), 1, - aux_sym_number_token1, - ACTIONS(888), 1, - sym__unquoted_identifier, - ACTIONS(890), 1, - anon_sym_BQUOTE, - ACTIONS(892), 1, - anon_sym_DQUOTE, - ACTIONS(894), 1, - anon_sym_SQUOTE, - ACTIONS(896), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(900), 1, + ACTIONS(549), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(902), 1, - anon_sym_STAR, - ACTIONS(904), 1, - aux_sym_interval_expression_token1, - ACTIONS(906), 1, - anon_sym_DOLLAR, - STATE(51), 1, - sym_identifier, - STATE(138), 1, - sym__quoted_identifier, - STATE(183), 1, - sym_argument_reference, - STATE(203), 1, - sym__expression, - STATE(178), 2, - sym_dotted_name, - sym__identifier, - STATE(177), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(898), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(202), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [34542] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(547), 25, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [98731] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(812), 1, - aux_sym_sequence_token2, - ACTIONS(814), 1, - aux_sym_null_hint_token3, - ACTIONS(816), 1, - anon_sym_LPAREN, - ACTIONS(818), 1, - aux_sym_TRUE_token1, - ACTIONS(820), 1, - aux_sym_FALSE_token1, - ACTIONS(822), 1, - aux_sym_number_token1, - ACTIONS(824), 1, - sym__unquoted_identifier, - ACTIONS(826), 1, - anon_sym_BQUOTE, - ACTIONS(828), 1, - anon_sym_DQUOTE, - ACTIONS(830), 1, - anon_sym_SQUOTE, - ACTIONS(832), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(836), 1, + ACTIONS(553), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(838), 1, - anon_sym_STAR, - ACTIONS(840), 1, - aux_sym_interval_expression_token1, - ACTIONS(842), 1, - anon_sym_DOLLAR, - STATE(669), 1, - sym_identifier, - STATE(683), 1, - sym__quoted_identifier, - STATE(808), 1, - sym_argument_reference, - STATE(819), 1, - sym__expression, - STATE(805), 2, - sym_dotted_name, - sym__identifier, - STATE(800), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(834), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(813), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [34637] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(551), 25, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [98774] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, + ACTIONS(2484), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(2492), 1, + anon_sym_CARET, + ACTIONS(583), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(491), 1, - anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(620), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [34732] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(581), 23, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [98821] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(812), 1, - aux_sym_sequence_token2, - ACTIONS(814), 1, - aux_sym_null_hint_token3, - ACTIONS(816), 1, - anon_sym_LPAREN, - ACTIONS(818), 1, - aux_sym_TRUE_token1, - ACTIONS(820), 1, - aux_sym_FALSE_token1, - ACTIONS(822), 1, - aux_sym_number_token1, - ACTIONS(824), 1, - sym__unquoted_identifier, - ACTIONS(826), 1, - anon_sym_BQUOTE, - ACTIONS(828), 1, - anon_sym_DQUOTE, - ACTIONS(830), 1, - anon_sym_SQUOTE, - ACTIONS(832), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(836), 1, + ACTIONS(2484), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(583), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(838), 1, - anon_sym_STAR, - ACTIONS(840), 1, - aux_sym_interval_expression_token1, - ACTIONS(842), 1, - anon_sym_DOLLAR, - STATE(669), 1, - sym_identifier, - STATE(683), 1, - sym__quoted_identifier, - STATE(808), 1, - sym_argument_reference, - STATE(826), 1, - sym__expression, - STATE(805), 2, - sym_dotted_name, - sym__identifier, - STATE(800), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(834), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(813), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [34827] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(581), 24, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [98866] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(620), 1, - aux_sym_sequence_token2, - ACTIONS(622), 1, - aux_sym_null_hint_token3, - ACTIONS(624), 1, - anon_sym_LPAREN, - ACTIONS(626), 1, - aux_sym_TRUE_token1, - ACTIONS(628), 1, - aux_sym_FALSE_token1, - ACTIONS(630), 1, - aux_sym_number_token1, - ACTIONS(632), 1, - sym__unquoted_identifier, - ACTIONS(634), 1, - anon_sym_BQUOTE, - ACTIONS(636), 1, - anon_sym_DQUOTE, - ACTIONS(638), 1, - anon_sym_SQUOTE, - ACTIONS(640), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(644), 1, - anon_sym_DASH, - ACTIONS(646), 1, + ACTIONS(2484), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(2492), 1, + anon_sym_CARET, + ACTIONS(2496), 1, + anon_sym_SLASH, + ACTIONS(2494), 5, anon_sym_STAR, - ACTIONS(648), 1, - aux_sym_interval_expression_token1, - ACTIONS(650), 1, - anon_sym_DOLLAR, - STATE(668), 1, - sym_identifier, - STATE(675), 1, - sym__quoted_identifier, - STATE(792), 1, - sym_argument_reference, - STATE(863), 1, - sym__expression, - STATE(785), 2, - sym_dotted_name, - sym__identifier, - STATE(804), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(642), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(583), 9, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(864), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [34922] = 24, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(581), 18, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [98917] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(652), 1, - aux_sym_sequence_token2, - ACTIONS(654), 1, - aux_sym_null_hint_token3, - ACTIONS(656), 1, - anon_sym_LPAREN, - ACTIONS(658), 1, - aux_sym_TRUE_token1, - ACTIONS(660), 1, - aux_sym_FALSE_token1, - ACTIONS(662), 1, - aux_sym_number_token1, - ACTIONS(664), 1, - sym__unquoted_identifier, - ACTIONS(666), 1, - anon_sym_BQUOTE, - ACTIONS(668), 1, - anon_sym_DQUOTE, - ACTIONS(670), 1, - anon_sym_SQUOTE, - ACTIONS(672), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(676), 1, + ACTIONS(587), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(678), 1, - anon_sym_STAR, - ACTIONS(680), 1, - aux_sym_interval_expression_token1, - ACTIONS(682), 1, - anon_sym_DOLLAR, - STATE(645), 1, - sym_identifier, - STATE(663), 1, - sym__quoted_identifier, - STATE(747), 1, - sym_argument_reference, - STATE(756), 1, - sym__expression, - STATE(733), 2, - sym_dotted_name, - sym__identifier, - STATE(748), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(674), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, - anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(751), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [35017] = 24, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(585), 25, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [98960] = 15, ACTIONS(3), 1, sym_comment, - ACTIONS(812), 1, - aux_sym_sequence_token2, - ACTIONS(814), 1, - aux_sym_null_hint_token3, - ACTIONS(816), 1, - anon_sym_LPAREN, - ACTIONS(818), 1, - aux_sym_TRUE_token1, - ACTIONS(820), 1, - aux_sym_FALSE_token1, - ACTIONS(822), 1, - aux_sym_number_token1, - ACTIONS(824), 1, - sym__unquoted_identifier, - ACTIONS(826), 1, - anon_sym_BQUOTE, - ACTIONS(828), 1, - anon_sym_DQUOTE, - ACTIONS(830), 1, - anon_sym_SQUOTE, - ACTIONS(832), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(836), 1, + ACTIONS(2472), 1, + aux_sym_cte_token2, + ACTIONS(2474), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(2478), 1, + aux_sym_is_expression_token1, + ACTIONS(2480), 1, + aux_sym_boolean_expression_token1, + ACTIONS(2484), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(2492), 1, + anon_sym_CARET, + ACTIONS(2496), 1, + anon_sym_SLASH, + ACTIONS(591), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(2486), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(2488), 2, anon_sym_DASH, - ACTIONS(838), 1, + anon_sym_POUND, + ACTIONS(2490), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(2494), 5, anon_sym_STAR, - ACTIONS(840), 1, - aux_sym_interval_expression_token1, - ACTIONS(842), 1, - anon_sym_DOLLAR, - STATE(669), 1, - sym_identifier, - STATE(683), 1, - sym__quoted_identifier, - STATE(808), 1, - sym_argument_reference, - STATE(828), 1, - sym__expression, - STATE(805), 2, - sym_dotted_name, - sym__identifier, - STATE(800), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(834), 6, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(2476), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(589), 7, + anon_sym_COMMA, + anon_sym_RPAREN, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + [99027] = 14, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2472), 1, + aux_sym_cte_token2, + ACTIONS(2474), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(2478), 1, + aux_sym_is_expression_token1, + ACTIONS(2484), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(2492), 1, + anon_sym_CARET, + ACTIONS(2496), 1, + anon_sym_SLASH, + ACTIONS(591), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(2486), 2, anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_PIPE, + ACTIONS(2488), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(2490), 4, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(813), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [35112] = 24, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(2494), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(2476), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + ACTIONS(589), 8, + anon_sym_COMMA, + anon_sym_RPAREN, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + [99092] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(812), 1, - aux_sym_sequence_token2, - ACTIONS(814), 1, - aux_sym_null_hint_token3, - ACTIONS(816), 1, - anon_sym_LPAREN, - ACTIONS(818), 1, - aux_sym_TRUE_token1, - ACTIONS(820), 1, - aux_sym_FALSE_token1, - ACTIONS(822), 1, - aux_sym_number_token1, - ACTIONS(824), 1, - sym__unquoted_identifier, - ACTIONS(826), 1, - anon_sym_BQUOTE, - ACTIONS(828), 1, - anon_sym_DQUOTE, - ACTIONS(830), 1, - anon_sym_SQUOTE, - ACTIONS(832), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(836), 1, + ACTIONS(595), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, - ACTIONS(838), 1, - anon_sym_STAR, - ACTIONS(840), 1, - aux_sym_interval_expression_token1, - ACTIONS(842), 1, - anon_sym_DOLLAR, - STATE(669), 1, - sym_identifier, - STATE(683), 1, - sym__quoted_identifier, - STATE(808), 1, - sym_argument_reference, - STATE(829), 1, - sym__expression, - STATE(805), 2, - sym_dotted_name, - sym__identifier, - STATE(800), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(834), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(813), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [35207] = 24, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(593), 25, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [99135] = 9, ACTIONS(3), 1, sym_comment, - ACTIONS(463), 1, - aux_sym_sequence_token2, - ACTIONS(465), 1, - aux_sym_null_hint_token3, - ACTIONS(467), 1, - anon_sym_LPAREN, - ACTIONS(471), 1, - aux_sym_TRUE_token1, - ACTIONS(473), 1, - aux_sym_FALSE_token1, - ACTIONS(475), 1, - aux_sym_number_token1, - ACTIONS(477), 1, - sym__unquoted_identifier, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(489), 1, + ACTIONS(2484), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(2492), 1, + anon_sym_CARET, + ACTIONS(2496), 1, + anon_sym_SLASH, + ACTIONS(2486), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(2488), 2, anon_sym_DASH, - ACTIONS(491), 1, + anon_sym_POUND, + ACTIONS(2494), 5, anon_sym_STAR, - ACTIONS(493), 1, - aux_sym_interval_expression_token1, - ACTIONS(495), 1, - anon_sym_DOLLAR, - STATE(189), 1, - sym_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(538), 1, - sym_argument_reference, - STATE(940), 1, - sym__expression, - STATE(534), 2, - sym_dotted_name, - sym__identifier, - STATE(533), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - ACTIONS(487), 6, - anon_sym_PLUS, - anon_sym_BANG_BANG, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(583), 7, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_TILDE, - anon_sym_AT, - anon_sym_PIPE_SLASH, - anon_sym_PIPE_PIPE_SLASH, - STATE(614), 15, - sym_select_subexpression, - sym_in_expression, - sym_is_expression, - sym_boolean_expression, - sym_NULL, - sym_TRUE, - sym_FALSE, - sym_number, - sym_field_access, - sym_type_cast, - sym_array_element_access, - sym_unary_expression, - sym_binary_expression, - sym_asterisk_expression, - sym_interval_expression, - [35302] = 4, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(581), 16, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [99190] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(435), 1, - anon_sym_COLON_COLON, - ACTIONS(267), 8, + ACTIONS(599), 10, aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(265), 35, + ACTIONS(597), 25, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [99233] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2459), 1, + aux_sym_null_hint_token2, + STATE(1512), 3, + sym_on_update_action, + sym_on_delete_action, + aux_sym_references_constraint_repeat1, + ACTIONS(2498), 31, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, + aux_sym_alter_table_action_alter_column_token3, aux_sym_pg_command_token1, - anon_sym_EQ, + aux_sym_null_hint_token3, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + [99278] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2459), 1, + aux_sym_null_hint_token2, + STATE(1512), 3, + sym_on_update_action, + sym_on_delete_action, + aux_sym_references_constraint_repeat1, + ACTIONS(2500), 31, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_pg_command_token1, + aux_sym_null_hint_token3, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + [99323] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(639), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(637), 25, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -42860,48 +110357,38 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [35356] = 4, + [99366] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(913), 1, - anon_sym_DOT_STAR, - ACTIONS(267), 8, + ACTIONS(615), 10, aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(265), 35, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, + ACTIONS(613), 25, anon_sym_COMMA, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -42910,48 +110397,160 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [99409] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(316), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(314), 25, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + anon_sym_PLUS, + anon_sym_CARET, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + anon_sym_PIPE, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [35410] = 4, + [99452] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2459), 1, + aux_sym_null_hint_token2, + STATE(1533), 3, + sym_on_update_action, + sym_on_delete_action, + aux_sym_references_constraint_repeat1, + ACTIONS(2502), 31, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_pg_command_token1, + aux_sym_null_hint_token3, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + [99497] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2459), 1, + aux_sym_null_hint_token2, + STATE(1512), 3, + sym_on_update_action, + sym_on_delete_action, + aux_sym_references_constraint_repeat1, + ACTIONS(2502), 31, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_pg_command_token1, + aux_sym_null_hint_token3, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + [99542] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(433), 1, - anon_sym_LBRACK, - ACTIONS(267), 8, + ACTIONS(573), 10, aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(265), 35, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, + ACTIONS(571), 25, anon_sym_COMMA, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -42960,47 +110559,38 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [35464] = 3, + [99585] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(303), 8, + ACTIONS(565), 10, aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(301), 36, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, + ACTIONS(563), 25, anon_sym_COMMA, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, - anon_sym_LBRACK, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -43009,23 +110599,39 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [35516] = 3, + [99628] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(109), 22, - anon_sym_EQ, - anon_sym_LPAREN, + ACTIONS(2484), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(561), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(559), 24, anon_sym_COMMA, + aux_sym_cte_token2, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_LBRACK, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -43034,72 +110640,39 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - ACTIONS(111), 22, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DOT, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [35568] = 4, + [99673] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(915), 1, - anon_sym_LPAREN, - ACTIONS(175), 7, + ACTIONS(2484), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(557), 10, aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(173), 36, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, + ACTIONS(555), 24, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, anon_sym_EQ, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, aux_sym_mode_token1, aux_sym_initial_mode_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, - anon_sym_LBRACK, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -43108,27 +110681,38 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [35622] = 5, + [99718] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(917), 1, - anon_sym_DOT, - STATE(371), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(85), 21, - anon_sym_EQ, - anon_sym_LPAREN, + ACTIONS(627), 10, + aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + anon_sym_DASH, + anon_sym_TILDE, + anon_sym_SLASH, + anon_sym_POUND, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(625), 25, anon_sym_COMMA, + aux_sym_cte_token2, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_LBRACK, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_is_expression_token1, + aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -43137,68 +110721,38 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(87), 21, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [35678] = 3, + [99761] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(381), 8, + ACTIONS(631), 10, aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(379), 35, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, + ACTIONS(629), 25, anon_sym_COMMA, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -43207,46 +110761,38 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [35729] = 3, + [99804] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(267), 8, + ACTIONS(635), 10, aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(265), 35, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, + ACTIONS(633), 25, anon_sym_COMMA, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + aux_sym_cte_token2, + anon_sym_RPAREN, + anon_sym_EQ, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, + aux_sym_boolean_expression_token2, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -43255,46 +110801,38 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [35780] = 3, + [99847] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(203), 7, + ACTIONS(297), 10, aux_sym_create_function_parameter_token1, + anon_sym_DASH_GT, + anon_sym_POUND_GT, anon_sym_DASH, anon_sym_TILDE, anon_sym_SLASH, + anon_sym_POUND, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(201), 36, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, + ACTIONS(295), 25, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, anon_sym_EQ, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, aux_sym_mode_token1, aux_sym_initial_mode_token1, aux_sym_is_expression_token1, aux_sym_boolean_expression_token1, aux_sym_boolean_expression_token2, - anon_sym_COLON_COLON, + aux_sym_at_time_zone_expression_token1, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, anon_sym_PLUS, anon_sym_CARET, anon_sym_STAR, @@ -43303,4742 +110841,6100 @@ static const uint16_t ts_small_parse_table[] = { anon_sym_GT_GT, anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [35831] = 16, + [99890] = 17, ACTIONS(3), 1, sym_comment, - ACTIONS(921), 1, - aux_sym_sequence_token2, - ACTIONS(923), 1, + ACTIONS(2472), 1, + aux_sym_cte_token2, + ACTIONS(2474), 1, aux_sym_create_function_parameter_token1, - ACTIONS(927), 1, - anon_sym_COMMA, - ACTIONS(929), 1, + ACTIONS(2478), 1, aux_sym_is_expression_token1, - ACTIONS(931), 1, + ACTIONS(2480), 1, aux_sym_boolean_expression_token1, - ACTIONS(933), 1, + ACTIONS(2482), 1, aux_sym_boolean_expression_token2, - ACTIONS(937), 1, - anon_sym_DASH, - ACTIONS(941), 1, + ACTIONS(2484), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(2492), 1, anon_sym_CARET, - ACTIONS(945), 1, + ACTIONS(2496), 1, anon_sym_SLASH, - STATE(1033), 1, - aux_sym_group_by_clause_body_repeat1, - ACTIONS(935), 3, + ACTIONS(2486), 2, anon_sym_PLUS, anon_sym_PIPE, + ACTIONS(2488), 2, + anon_sym_DASH, anon_sym_POUND, - ACTIONS(939), 4, + ACTIONS(2504), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(2506), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1535), 4, + anon_sym_COMMA, + anon_sym_RPAREN, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + ACTIONS(2490), 4, anon_sym_TILDE, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(943), 5, + ACTIONS(2494), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - ACTIONS(925), 6, + ACTIONS(2476), 6, anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(919), 15, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_order_by_clause_token1, - [35908] = 3, + [99961] = 17, ACTIONS(3), 1, sym_comment, - ACTIONS(207), 7, + ACTIONS(2429), 1, + aux_sym_cte_token2, + ACTIONS(2431), 1, aux_sym_create_function_parameter_token1, + ACTIONS(2435), 1, + aux_sym_is_expression_token1, + ACTIONS(2437), 1, + aux_sym_boolean_expression_token1, + ACTIONS(2439), 1, + aux_sym_boolean_expression_token2, + ACTIONS(2441), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(2449), 1, + anon_sym_CARET, + ACTIONS(2453), 1, + anon_sym_SLASH, + ACTIONS(2443), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(2445), 2, anon_sym_DASH, + anon_sym_POUND, + ACTIONS(2463), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(2465), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1201), 4, + anon_sym_COMMA, + anon_sym_RPAREN, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + ACTIONS(2447), 4, anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(205), 36, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_COLON_COLON, - anon_sym_PLUS, - anon_sym_CARET, + ACTIONS(2451), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(2433), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [35959] = 3, + [100032] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(331), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(329), 35, + ACTIONS(2459), 1, + aux_sym_null_hint_token2, + STATE(1538), 3, + sym_on_update_action, + sym_on_delete_action, + aux_sym_references_constraint_repeat1, + ACTIONS(2508), 31, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, + aux_sym_alter_table_action_alter_column_token3, aux_sym_pg_command_token1, - anon_sym_EQ, - anon_sym_COMMA, + aux_sym_null_hint_token3, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + [100077] = 17, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2429), 1, + aux_sym_cte_token2, + ACTIONS(2431), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(2435), 1, aux_sym_is_expression_token1, + ACTIONS(2437), 1, aux_sym_boolean_expression_token1, - anon_sym_PLUS, + ACTIONS(2439), 1, + aux_sym_boolean_expression_token2, + ACTIONS(2441), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(2449), 1, anon_sym_CARET, + ACTIONS(2453), 1, + anon_sym_SLASH, + ACTIONS(2443), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(2445), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(2463), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(2465), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1330), 4, + anon_sym_RPAREN, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + ACTIONS(2447), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(2451), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(2433), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [36010] = 3, + [100148] = 19, ACTIONS(3), 1, sym_comment, - ACTIONS(327), 8, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(2510), 1, + anon_sym_RPAREN, + STATE(2342), 1, + aux_sym_group_by_clause_body_repeat1, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(325), 35, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, - anon_sym_COMMA, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [36061] = 3, + [100222] = 19, ACTIONS(3), 1, sym_comment, - ACTIONS(299), 8, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(2512), 1, + anon_sym_RPAREN, + STATE(2243), 1, + aux_sym_group_by_clause_body_repeat1, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(297), 35, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, - anon_sym_COMMA, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [36112] = 3, + [100296] = 19, ACTIONS(3), 1, sym_comment, - ACTIONS(369), 8, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(2514), 1, + anon_sym_RPAREN, + STATE(2246), 1, + aux_sym_group_by_clause_body_repeat1, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(367), 35, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, - anon_sym_COMMA, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [36163] = 3, + [100370] = 19, ACTIONS(3), 1, sym_comment, - ACTIONS(183), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(181), 36, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, aux_sym_is_expression_token1, + ACTIONS(1269), 1, aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, - anon_sym_LBRACK, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(2516), 1, + anon_sym_RPAREN, + STATE(2240), 1, + aux_sym_group_by_clause_body_repeat1, + ACTIONS(1271), 2, anon_sym_PLUS, - anon_sym_CARET, + anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [36214] = 21, + [100444] = 19, ACTIONS(3), 1, sym_comment, - ACTIONS(215), 1, - aux_sym_sequence_token3, - ACTIONS(227), 1, - sym__unquoted_identifier, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(947), 1, - aux_sym_sequence_token2, - ACTIONS(949), 1, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, - ACTIONS(953), 1, + ACTIONS(1267), 1, aux_sym_is_expression_token1, - ACTIONS(955), 1, + ACTIONS(1269), 1, aux_sym_boolean_expression_token1, - ACTIONS(957), 1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, - ACTIONS(961), 1, - anon_sym_DASH, - ACTIONS(965), 1, - anon_sym_CARET, - ACTIONS(969), 1, - anon_sym_SLASH, - STATE(10), 1, - sym__quoted_identifier, - STATE(960), 1, - sym_identifier, - ACTIONS(209), 2, + ACTIONS(2408), 1, anon_sym_COMMA, + ACTIONS(2518), 1, anon_sym_RPAREN, - ACTIONS(959), 3, + STATE(2249), 1, + aux_sym_group_by_clause_body_repeat1, + ACTIONS(1271), 2, anon_sym_PLUS, anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, anon_sym_POUND, - ACTIONS(963), 4, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(967), 5, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - ACTIONS(951), 6, + ACTIONS(1265), 6, anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(211), 9, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - [36301] = 3, + [100518] = 10, ACTIONS(3), 1, sym_comment, - ACTIONS(405), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(403), 35, + ACTIONS(2522), 1, + aux_sym_cte_token1, + ACTIONS(2525), 1, + aux_sym_create_function_statement_token3, + ACTIONS(2534), 1, + aux_sym_null_hint_token1, + ACTIONS(2537), 1, + aux_sym_null_hint_token5, + ACTIONS(2540), 1, + aux_sym__function_language_token1, + ACTIONS(2528), 3, + aux_sym_optimizer_hint_token1, + aux_sym_optimizer_hint_token2, + aux_sym_optimizer_hint_token3, + ACTIONS(2531), 4, + aux_sym_parallel_hint_token1, + aux_sym_parallel_hint_token2, + aux_sym_parallel_hint_token3, + aux_sym_parallel_hint_token4, + STATE(1556), 6, + sym_optimizer_hint, + sym_parallel_hint, + sym_null_hint, + sym__function_language, + sym_function_body, + aux_sym_create_function_statement_repeat1, + ACTIONS(2520), 16, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, aux_sym_pg_command_token1, - anon_sym_EQ, - anon_sym_COMMA, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + [100574] = 19, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, aux_sym_is_expression_token1, + ACTIONS(1269), 1, aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, + aux_sym_boolean_expression_token2, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(2543), 1, + anon_sym_RPAREN, + STATE(2242), 1, + aux_sym_group_by_clause_body_repeat1, + ACTIONS(1271), 2, anon_sym_PLUS, - anon_sym_CARET, + anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [36352] = 3, + [100648] = 10, ACTIONS(3), 1, sym_comment, - ACTIONS(409), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(407), 35, + ACTIONS(2547), 1, + aux_sym_cte_token1, + ACTIONS(2549), 1, + aux_sym_create_function_statement_token3, + ACTIONS(2555), 1, + aux_sym_null_hint_token1, + ACTIONS(2557), 1, + aux_sym_null_hint_token5, + ACTIONS(2559), 1, + aux_sym__function_language_token1, + ACTIONS(2551), 3, + aux_sym_optimizer_hint_token1, + aux_sym_optimizer_hint_token2, + aux_sym_optimizer_hint_token3, + ACTIONS(2553), 4, + aux_sym_parallel_hint_token1, + aux_sym_parallel_hint_token2, + aux_sym_parallel_hint_token3, + aux_sym_parallel_hint_token4, + STATE(1556), 6, + sym_optimizer_hint, + sym_parallel_hint, + sym_null_hint, + sym__function_language, + sym_function_body, + aux_sym_create_function_statement_repeat1, + ACTIONS(2545), 16, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, aux_sym_pg_command_token1, - anon_sym_EQ, - anon_sym_COMMA, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [36403] = 3, + [100704] = 10, ACTIONS(3), 1, sym_comment, - ACTIONS(413), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(411), 35, + ACTIONS(2547), 1, + aux_sym_cte_token1, + ACTIONS(2549), 1, + aux_sym_create_function_statement_token3, + ACTIONS(2555), 1, + aux_sym_null_hint_token1, + ACTIONS(2557), 1, + aux_sym_null_hint_token5, + ACTIONS(2559), 1, + aux_sym__function_language_token1, + ACTIONS(2551), 3, + aux_sym_optimizer_hint_token1, + aux_sym_optimizer_hint_token2, + aux_sym_optimizer_hint_token3, + ACTIONS(2553), 4, + aux_sym_parallel_hint_token1, + aux_sym_parallel_hint_token2, + aux_sym_parallel_hint_token3, + aux_sym_parallel_hint_token4, + STATE(1558), 6, + sym_optimizer_hint, + sym_parallel_hint, + sym_null_hint, + sym__function_language, + sym_function_body, + aux_sym_create_function_statement_repeat1, + ACTIONS(2561), 16, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, aux_sym_pg_command_token1, - anon_sym_EQ, - anon_sym_COMMA, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + [100760] = 19, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, aux_sym_is_expression_token1, + ACTIONS(1269), 1, aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, + aux_sym_boolean_expression_token2, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(2563), 1, + anon_sym_RPAREN, + STATE(2303), 1, + aux_sym_group_by_clause_body_repeat1, + ACTIONS(1271), 2, anon_sym_PLUS, - anon_sym_CARET, + anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [36454] = 3, + [100834] = 8, ACTIONS(3), 1, sym_comment, - ACTIONS(399), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(397), 35, + ACTIONS(79), 1, + anon_sym_LBRACK, + ACTIONS(2567), 1, + aux_sym_cte_token2, + ACTIONS(2569), 1, + aux_sym_null_hint_token3, + STATE(3), 1, + aux_sym_array_type_repeat1, + STATE(1660), 1, + sym_null_constraint, + STATE(1683), 1, + sym_NULL, + ACTIONS(2565), 28, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, aux_sym_pg_command_token1, - anon_sym_EQ, - anon_sym_COMMA, + aux_sym_create_function_statement_token3, + aux_sym_optimizer_hint_token1, + aux_sym_optimizer_hint_token2, + aux_sym_optimizer_hint_token3, + aux_sym_parallel_hint_token1, + aux_sym_parallel_hint_token2, + aux_sym_parallel_hint_token3, + aux_sym_parallel_hint_token4, + aux_sym_null_hint_token1, + aux_sym_null_hint_token5, + aux_sym__function_language_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + [100886] = 19, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, aux_sym_is_expression_token1, + ACTIONS(1269), 1, aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, + aux_sym_boolean_expression_token2, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(2571), 1, + anon_sym_RPAREN, + STATE(2254), 1, + aux_sym_group_by_clause_body_repeat1, + ACTIONS(1271), 2, anon_sym_PLUS, - anon_sym_CARET, + anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [36505] = 4, + [100960] = 19, ACTIONS(3), 1, sym_comment, - ACTIONS(971), 1, - anon_sym_LPAREN, - ACTIONS(173), 20, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_LBRACK, - anon_sym_PLUS, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(175), 22, - aux_sym_sequence_token2, - aux_sym_sequence_token3, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, + ACTIONS(1267), 1, aux_sym_is_expression_token1, + ACTIONS(1269), 1, aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [36558] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(377), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(2573), 1, + anon_sym_RPAREN, + STATE(2256), 1, + aux_sym_group_by_clause_body_repeat1, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(375), 35, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, - anon_sym_COMMA, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [36609] = 4, + [101034] = 19, ACTIONS(3), 1, sym_comment, - ACTIONS(449), 1, - anon_sym_COLON_COLON, - ACTIONS(267), 7, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, + aux_sym_boolean_expression_token2, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(2575), 1, + anon_sym_RPAREN, + STATE(2304), 1, + aux_sym_group_by_clause_body_repeat1, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(265), 35, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [36662] = 4, + [101108] = 19, ACTIONS(3), 1, sym_comment, - ACTIONS(973), 1, - anon_sym_LPAREN, - ACTIONS(175), 7, - aux_sym_sequence_token5, - anon_sym_DASH, - anon_sym_TILDE, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(173), 35, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_null_hint_token3, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, + ACTIONS(1267), 1, aux_sym_is_expression_token1, + ACTIONS(1269), 1, aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, - anon_sym_LBRACK, - anon_sym_RBRACK, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(2577), 1, + anon_sym_RPAREN, + STATE(2310), 1, + aux_sym_group_by_clause_body_repeat1, + ACTIONS(1271), 2, anon_sym_PLUS, - anon_sym_CARET, + anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [36715] = 4, + [101182] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(975), 1, - anon_sym_DOT_STAR, - ACTIONS(267), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(265), 35, + ACTIONS(121), 1, + aux_sym_with_clause_token1, + ACTIONS(2579), 1, + anon_sym_DOT, + STATE(1591), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(119), 31, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_cte_token2, + anon_sym_LPAREN, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, + aux_sym_alter_table_action_alter_column_token3, aux_sym_pg_command_token1, - anon_sym_EQ, + aux_sym_null_hint_token3, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, + [101228] = 19, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, aux_sym_is_expression_token1, + ACTIONS(1269), 1, aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(2581), 1, + anon_sym_RPAREN, + STATE(2295), 1, + aux_sym_group_by_clause_body_repeat1, + ACTIONS(1271), 2, anon_sym_PLUS, - anon_sym_CARET, + anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [36768] = 4, + [101302] = 19, ACTIONS(3), 1, sym_comment, - ACTIONS(447), 1, - anon_sym_LBRACK, - ACTIONS(267), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(265), 35, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, aux_sym_is_expression_token1, + ACTIONS(1269), 1, aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(2583), 1, + anon_sym_RPAREN, + STATE(2340), 1, + aux_sym_group_by_clause_body_repeat1, + ACTIONS(1271), 2, anon_sym_PLUS, - anon_sym_CARET, + anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [36821] = 3, + [101376] = 19, ACTIONS(3), 1, sym_comment, - ACTIONS(335), 8, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(2585), 1, + anon_sym_RPAREN, + STATE(2282), 1, + aux_sym_group_by_clause_body_repeat1, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(333), 35, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, - anon_sym_COMMA, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [36872] = 3, + [101450] = 19, ACTIONS(3), 1, sym_comment, - ACTIONS(343), 8, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(2587), 1, + anon_sym_RPAREN, + STATE(2348), 1, + aux_sym_group_by_clause_body_repeat1, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(341), 35, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, - anon_sym_COMMA, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [36923] = 8, + [101524] = 17, ACTIONS(3), 1, sym_comment, - ACTIONS(937), 1, - anon_sym_DASH, - ACTIONS(941), 1, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, anon_sym_CARET, - ACTIONS(945), 1, + ACTIONS(1245), 1, anon_sym_SLASH, - ACTIONS(935), 3, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, + aux_sym_boolean_expression_token2, + ACTIONS(1271), 2, anon_sym_PLUS, anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, anon_sym_POUND, - ACTIONS(943), 5, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(2589), 3, + aux_sym_conditional_expression_token2, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + ACTIONS(1275), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - ACTIONS(313), 6, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(311), 26, + ACTIONS(1265), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [101594] = 10, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2547), 1, + aux_sym_cte_token1, + ACTIONS(2549), 1, + aux_sym_create_function_statement_token3, + ACTIONS(2555), 1, + aux_sym_null_hint_token1, + ACTIONS(2557), 1, + aux_sym_null_hint_token5, + ACTIONS(2559), 1, + aux_sym__function_language_token1, + ACTIONS(2551), 3, + aux_sym_optimizer_hint_token1, + aux_sym_optimizer_hint_token2, + aux_sym_optimizer_hint_token3, + ACTIONS(2553), 4, + aux_sym_parallel_hint_token1, + aux_sym_parallel_hint_token2, + aux_sym_parallel_hint_token3, + aux_sym_parallel_hint_token4, + STATE(1556), 6, + sym_optimizer_hint, + sym_parallel_hint, + sym_null_hint, + sym__function_language, + sym_function_body, + aux_sym_create_function_statement_repeat1, + ACTIONS(2591), 16, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, aux_sym_pg_command_token1, - anon_sym_EQ, - anon_sym_COMMA, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [36984] = 3, + [101650] = 10, ACTIONS(3), 1, sym_comment, - ACTIONS(355), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(353), 35, + ACTIONS(2547), 1, + aux_sym_cte_token1, + ACTIONS(2549), 1, + aux_sym_create_function_statement_token3, + ACTIONS(2555), 1, + aux_sym_null_hint_token1, + ACTIONS(2557), 1, + aux_sym_null_hint_token5, + ACTIONS(2559), 1, + aux_sym__function_language_token1, + ACTIONS(2551), 3, + aux_sym_optimizer_hint_token1, + aux_sym_optimizer_hint_token2, + aux_sym_optimizer_hint_token3, + ACTIONS(2553), 4, + aux_sym_parallel_hint_token1, + aux_sym_parallel_hint_token2, + aux_sym_parallel_hint_token3, + aux_sym_parallel_hint_token4, + STATE(1572), 6, + sym_optimizer_hint, + sym_parallel_hint, + sym_null_hint, + sym__function_language, + sym_function_body, + aux_sym_create_function_statement_repeat1, + ACTIONS(2593), 16, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, aux_sym_pg_command_token1, - anon_sym_EQ, - anon_sym_COMMA, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + [101706] = 19, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, aux_sym_is_expression_token1, + ACTIONS(1269), 1, aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, + aux_sym_boolean_expression_token2, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(2595), 1, + anon_sym_RPAREN, + STATE(2332), 1, + aux_sym_group_by_clause_body_repeat1, + ACTIONS(1271), 2, anon_sym_PLUS, - anon_sym_CARET, + anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [37035] = 10, + [101780] = 19, ACTIONS(3), 1, sym_comment, - ACTIONS(937), 1, - anon_sym_DASH, - ACTIONS(941), 1, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, anon_sym_CARET, - ACTIONS(945), 1, + ACTIONS(1245), 1, anon_sym_SLASH, - ACTIONS(359), 2, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, - ACTIONS(935), 3, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(2597), 1, + anon_sym_RPAREN, + STATE(2263), 1, + aux_sym_group_by_clause_body_repeat1, + ACTIONS(1271), 2, anon_sym_PLUS, anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, anon_sym_POUND, - ACTIONS(939), 4, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(943), 5, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - ACTIONS(925), 6, + ACTIONS(1265), 6, anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(357), 20, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_COMMA, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - [37100] = 11, + [101854] = 19, ACTIONS(3), 1, sym_comment, - ACTIONS(931), 1, - aux_sym_boolean_expression_token1, - ACTIONS(937), 1, - anon_sym_DASH, - ACTIONS(941), 1, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, anon_sym_CARET, - ACTIONS(945), 1, + ACTIONS(1245), 1, anon_sym_SLASH, - ACTIONS(359), 2, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, - ACTIONS(935), 3, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(2599), 1, + anon_sym_RPAREN, + STATE(2302), 1, + aux_sym_group_by_clause_body_repeat1, + ACTIONS(1271), 2, anon_sym_PLUS, anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, anon_sym_POUND, - ACTIONS(939), 4, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(943), 5, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - ACTIONS(925), 6, + ACTIONS(1265), 6, anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(357), 19, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_COMMA, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - [37167] = 6, + [101928] = 19, ACTIONS(3), 1, sym_comment, - ACTIONS(941), 1, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, anon_sym_CARET, - ACTIONS(945), 1, + ACTIONS(1245), 1, anon_sym_SLASH, - ACTIONS(943), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(313), 7, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(2601), 1, + anon_sym_RPAREN, + STATE(2334), 1, + aux_sym_group_by_clause_body_repeat1, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(311), 29, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, + ACTIONS(1243), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(1265), 6, anon_sym_EQ, - anon_sym_COMMA, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [37224] = 3, + [102002] = 8, ACTIONS(3), 1, sym_comment, - ACTIONS(313), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(311), 35, + ACTIONS(79), 1, + anon_sym_LBRACK, + ACTIONS(2567), 1, + aux_sym_cte_token2, + ACTIONS(2569), 1, + aux_sym_null_hint_token3, + STATE(3), 1, + aux_sym_array_type_repeat1, + STATE(1660), 1, + sym_null_constraint, + STATE(1683), 1, + sym_NULL, + ACTIONS(2603), 28, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, aux_sym_pg_command_token1, - anon_sym_EQ, - anon_sym_COMMA, + aux_sym_create_function_statement_token3, + aux_sym_optimizer_hint_token1, + aux_sym_optimizer_hint_token2, + aux_sym_optimizer_hint_token3, + aux_sym_parallel_hint_token1, + aux_sym_parallel_hint_token2, + aux_sym_parallel_hint_token3, + aux_sym_parallel_hint_token4, + aux_sym_null_hint_token1, + aux_sym_null_hint_token5, + aux_sym__function_language_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + [102054] = 19, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, aux_sym_is_expression_token1, + ACTIONS(1269), 1, aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, + aux_sym_boolean_expression_token2, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(2605), 1, + anon_sym_RPAREN, + STATE(2325), 1, + aux_sym_group_by_clause_body_repeat1, + ACTIONS(1271), 2, anon_sym_PLUS, - anon_sym_CARET, + anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [37275] = 4, + [102128] = 19, ACTIONS(3), 1, sym_comment, - ACTIONS(941), 1, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, anon_sym_CARET, - ACTIONS(313), 8, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(2607), 1, + anon_sym_RPAREN, + STATE(2346), 1, + aux_sym_group_by_clause_body_repeat1, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(311), 34, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, - anon_sym_COMMA, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [37328] = 3, + [102202] = 19, ACTIONS(3), 1, sym_comment, - ACTIONS(73), 7, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, + aux_sym_boolean_expression_token2, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(2609), 1, + anon_sym_RPAREN, + STATE(2314), 1, + aux_sym_group_by_clause_body_repeat1, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(71), 36, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_LBRACK, - anon_sym_PLUS, - anon_sym_CARET, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [37379] = 3, + [102276] = 19, ACTIONS(3), 1, sym_comment, - ACTIONS(303), 7, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, + aux_sym_boolean_expression_token2, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(2611), 1, + anon_sym_RPAREN, + STATE(2354), 1, + aux_sym_group_by_clause_body_repeat1, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(301), 36, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_LBRACK, - anon_sym_PLUS, - anon_sym_CARET, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [37430] = 3, + [102350] = 19, ACTIONS(3), 1, sym_comment, - ACTIONS(323), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(321), 35, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, - anon_sym_COMMA, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, aux_sym_is_expression_token1, + ACTIONS(1269), 1, aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, + aux_sym_boolean_expression_token2, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(2613), 1, + anon_sym_RPAREN, + STATE(2283), 1, + aux_sym_group_by_clause_body_repeat1, + ACTIONS(1271), 2, anon_sym_PLUS, - anon_sym_CARET, + anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [37481] = 3, + [102424] = 19, ACTIONS(3), 1, sym_comment, - ACTIONS(319), 8, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(2615), 1, + anon_sym_RPAREN, + STATE(2329), 1, + aux_sym_group_by_clause_body_repeat1, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(317), 35, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, - anon_sym_COMMA, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [37532] = 3, + [102498] = 19, ACTIONS(3), 1, sym_comment, - ACTIONS(199), 7, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, + aux_sym_boolean_expression_token2, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(2617), 1, + anon_sym_RPAREN, + STATE(2281), 1, + aux_sym_group_by_clause_body_repeat1, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(197), 36, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_COLON_COLON, - anon_sym_PLUS, - anon_sym_CARET, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [37583] = 3, + [102572] = 19, ACTIONS(3), 1, sym_comment, - ACTIONS(253), 7, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, + aux_sym_boolean_expression_token2, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(2619), 1, + anon_sym_RPAREN, + STATE(2337), 1, + aux_sym_group_by_clause_body_repeat1, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(251), 36, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_COLON_COLON, - anon_sym_PLUS, - anon_sym_CARET, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [37634] = 3, + [102646] = 19, ACTIONS(3), 1, sym_comment, - ACTIONS(339), 8, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(2621), 1, + anon_sym_RPAREN, + STATE(2300), 1, + aux_sym_group_by_clause_body_repeat1, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(337), 35, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, - anon_sym_COMMA, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [37685] = 3, + [102720] = 19, ACTIONS(3), 1, sym_comment, - ACTIONS(373), 8, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(2623), 1, + anon_sym_RPAREN, + STATE(2296), 1, + aux_sym_group_by_clause_body_repeat1, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(371), 35, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, - anon_sym_COMMA, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [37736] = 14, + [102794] = 19, ACTIONS(3), 1, sym_comment, - ACTIONS(921), 1, - aux_sym_sequence_token2, - ACTIONS(923), 1, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, - ACTIONS(929), 1, + ACTIONS(1267), 1, aux_sym_is_expression_token1, - ACTIONS(931), 1, + ACTIONS(1269), 1, aux_sym_boolean_expression_token1, - ACTIONS(933), 1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, - ACTIONS(937), 1, - anon_sym_DASH, - ACTIONS(941), 1, - anon_sym_CARET, - ACTIONS(945), 1, - anon_sym_SLASH, - ACTIONS(935), 3, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(2625), 1, + anon_sym_RPAREN, + STATE(2341), 1, + aux_sym_group_by_clause_body_repeat1, + ACTIONS(1271), 2, anon_sym_PLUS, anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, anon_sym_POUND, - ACTIONS(939), 4, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(943), 5, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - ACTIONS(925), 6, + ACTIONS(1265), 6, anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(383), 17, + [102868] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(94), 1, + aux_sym_with_clause_token1, + ACTIONS(2627), 1, + anon_sym_DOT, + STATE(1590), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(92), 31, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_cte_token2, + anon_sym_LPAREN, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_alter_column_token3, aux_sym_pg_command_token1, - anon_sym_COMMA, + aux_sym_null_hint_token3, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - [37809] = 4, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, + [102914] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(977), 1, - anon_sym_LBRACK, - ACTIONS(193), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(191), 35, + ACTIONS(115), 1, + aux_sym_with_clause_token1, + ACTIONS(2579), 1, + anon_sym_DOT, + STATE(1590), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(113), 31, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_cte_token2, + anon_sym_LPAREN, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, + aux_sym_alter_table_action_alter_column_token3, aux_sym_pg_command_token1, - anon_sym_EQ, + aux_sym_null_hint_token3, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [37862] = 3, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, + [102960] = 17, ACTIONS(3), 1, sym_comment, - ACTIONS(249), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(247), 36, + ACTIONS(2632), 1, + aux_sym_grant_statement_token12, + ACTIONS(2634), 1, + aux_sym_order_by_clause_token1, + ACTIONS(2636), 1, + aux_sym_limit_clause_token1, + ACTIONS(2638), 1, + aux_sym_where_clause_token1, + ACTIONS(2640), 1, + aux_sym_from_clause_token1, + ACTIONS(2642), 1, + aux_sym_join_type_token1, + ACTIONS(2646), 1, + aux_sym_join_clause_token1, + STATE(1627), 1, + sym_from_clause, + STATE(1722), 1, + sym_where_clause, + STATE(1765), 1, + sym_group_by_clause, + STATE(1794), 1, + sym_order_by_clause, + STATE(1835), 1, + sym_limit_clause, + STATE(3316), 1, + sym_join_type, + STATE(1644), 2, + sym_join_clause, + aux_sym__select_statement_repeat1, + ACTIONS(2644), 3, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + ACTIONS(2630), 16, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, aux_sym_pg_command_token1, - anon_sym_EQ, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, + [103030] = 19, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, aux_sym_is_expression_token1, + ACTIONS(1269), 1, aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, - anon_sym_COLON_COLON, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(2648), 1, + anon_sym_RPAREN, + STATE(2289), 1, + aux_sym_group_by_clause_body_repeat1, + ACTIONS(1271), 2, anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [37913] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(327), 7, - aux_sym_create_function_parameter_token1, + ACTIONS(1273), 2, anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(325), 35, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [37963] = 3, + [103104] = 19, ACTIONS(3), 1, sym_comment, - ACTIONS(301), 20, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_LBRACK, - anon_sym_PLUS, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(303), 22, - aux_sym_sequence_token2, - aux_sym_sequence_token3, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, + ACTIONS(1267), 1, aux_sym_is_expression_token1, + ACTIONS(1269), 1, aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [38013] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(331), 7, - aux_sym_create_function_parameter_token1, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(2650), 1, + anon_sym_RPAREN, + STATE(2279), 1, + aux_sym_group_by_clause_body_repeat1, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(329), 35, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [38063] = 8, + [103178] = 19, ACTIONS(3), 1, sym_comment, - ACTIONS(981), 1, - anon_sym_DASH, - ACTIONS(983), 1, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, anon_sym_CARET, - ACTIONS(987), 1, + ACTIONS(1245), 1, anon_sym_SLASH, - ACTIONS(979), 3, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, + aux_sym_boolean_expression_token2, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(2652), 1, + anon_sym_RPAREN, + STATE(2275), 1, + aux_sym_group_by_clause_body_repeat1, + ACTIONS(1271), 2, anon_sym_PLUS, anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, anon_sym_POUND, - ACTIONS(313), 5, - aux_sym_create_function_parameter_token1, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(985), 5, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - ACTIONS(311), 26, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, + ACTIONS(1265), 6, anon_sym_EQ, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [38123] = 3, + [103252] = 17, ACTIONS(3), 1, sym_comment, - ACTIONS(205), 20, - anon_sym_EQ, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, + aux_sym_boolean_expression_token2, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(2654), 2, anon_sym_COMMA, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_COLON_COLON, - anon_sym_PLUS, - anon_sym_CARET, + ACTIONS(1275), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(207), 22, - aux_sym_sequence_token2, - aux_sym_sequence_token3, + [103321] = 17, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, + ACTIONS(1267), 1, aux_sym_is_expression_token1, + ACTIONS(1269), 1, aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [38173] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(377), 7, - aux_sym_create_function_parameter_token1, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(2656), 2, + anon_sym_COMMA, + anon_sym_RPAREN, + ACTIONS(1275), 4, anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(375), 35, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [38223] = 3, + [103390] = 17, ACTIONS(3), 1, sym_comment, - ACTIONS(399), 7, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, + aux_sym_boolean_expression_token2, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(2658), 2, + anon_sym_COMMA, + anon_sym_RPAREN, + ACTIONS(1275), 4, anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(397), 35, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [38273] = 3, + [103459] = 17, ACTIONS(3), 1, sym_comment, - ACTIONS(413), 7, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, + aux_sym_boolean_expression_token2, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(2660), 2, + anon_sym_COMMA, + anon_sym_RPAREN, + ACTIONS(1275), 4, anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(411), 35, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [38323] = 3, + [103528] = 17, ACTIONS(3), 1, sym_comment, - ACTIONS(409), 7, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, + aux_sym_boolean_expression_token2, + ACTIONS(2662), 1, + aux_sym_conditional_expression_token5, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(407), 35, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [38373] = 14, + [103596] = 17, ACTIONS(3), 1, sym_comment, - ACTIONS(315), 1, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, anon_sym_CARET, - ACTIONS(347), 1, - anon_sym_DASH, - ACTIONS(351), 1, + ACTIONS(1245), 1, anon_sym_SLASH, - ACTIONS(365), 1, - aux_sym_boolean_expression_token1, - ACTIONS(385), 1, - aux_sym_sequence_token2, - ACTIONS(389), 1, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, - ACTIONS(391), 1, + ACTIONS(1267), 1, aux_sym_is_expression_token1, - ACTIONS(393), 1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, - ACTIONS(345), 3, + ACTIONS(2664), 1, + anon_sym_RPAREN, + ACTIONS(1271), 2, anon_sym_PLUS, anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, anon_sym_POUND, - ACTIONS(363), 4, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(349), 5, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - ACTIONS(361), 6, + ACTIONS(1265), 6, anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(989), 16, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - anon_sym_COMMA, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_where_clause_token1, - [38445] = 3, + [103664] = 17, ACTIONS(3), 1, sym_comment, - ACTIONS(405), 7, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, + aux_sym_boolean_expression_token2, + ACTIONS(2666), 1, + aux_sym_conditional_expression_token5, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(403), 35, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [38495] = 3, + [103732] = 17, ACTIONS(3), 1, sym_comment, - ACTIONS(247), 20, - anon_sym_EQ, - anon_sym_COMMA, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, + aux_sym_boolean_expression_token2, + ACTIONS(1330), 1, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_COLON_COLON, + ACTIONS(1271), 2, anon_sym_PLUS, - anon_sym_CARET, + anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(249), 22, - aux_sym_sequence_token2, - aux_sym_sequence_token3, + [103800] = 17, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, + ACTIONS(1267), 1, aux_sym_is_expression_token1, + ACTIONS(1269), 1, aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, - sym__unquoted_identifier, + ACTIONS(2668), 1, + aux_sym_conditional_expression_token5, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [38545] = 4, - ACTIONS(3), 1, - sym_comment, - ACTIONS(991), 1, - anon_sym_LBRACK, - ACTIONS(191), 19, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(193), 22, - aux_sym_sequence_token2, - aux_sym_sequence_token3, + [103868] = 17, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, + ACTIONS(1267), 1, aux_sym_is_expression_token1, + ACTIONS(1269), 1, aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, - sym__unquoted_identifier, + ACTIONS(2670), 1, + anon_sym_RPAREN, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [38597] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(201), 20, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_COLON_COLON, - anon_sym_PLUS, - anon_sym_CARET, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(203), 22, - aux_sym_sequence_token2, - aux_sym_sequence_token3, + [103936] = 17, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, + ACTIONS(1267), 1, aux_sym_is_expression_token1, + ACTIONS(1269), 1, aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, - sym__unquoted_identifier, + ACTIONS(2672), 1, + anon_sym_RPAREN, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [38647] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(251), 20, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_COLON_COLON, - anon_sym_PLUS, - anon_sym_CARET, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(253), 22, - aux_sym_sequence_token2, - aux_sym_sequence_token3, + [104004] = 17, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, + ACTIONS(1267), 1, aux_sym_is_expression_token1, + ACTIONS(1269), 1, aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, - sym__unquoted_identifier, + ACTIONS(2674), 1, + anon_sym_RBRACK, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [38697] = 21, + ACTIONS(1243), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(1265), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [104072] = 17, ACTIONS(3), 1, sym_comment, - ACTIONS(215), 1, - aux_sym_sequence_token3, - ACTIONS(227), 1, - sym__unquoted_identifier, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(993), 1, - aux_sym_sequence_token2, - ACTIONS(995), 1, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, - ACTIONS(999), 1, + ACTIONS(1267), 1, aux_sym_is_expression_token1, - ACTIONS(1001), 1, + ACTIONS(1269), 1, aux_sym_boolean_expression_token1, - ACTIONS(1003), 1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, - ACTIONS(1007), 1, - anon_sym_DASH, - ACTIONS(1011), 1, - anon_sym_CARET, - ACTIONS(1015), 1, - anon_sym_SLASH, - STATE(10), 1, - sym__quoted_identifier, - STATE(960), 1, - sym_identifier, - ACTIONS(209), 2, - anon_sym_COMMA, - anon_sym_RPAREN, - ACTIONS(1005), 3, + ACTIONS(2676), 1, + aux_sym_conditional_expression_token5, + ACTIONS(1271), 2, anon_sym_PLUS, anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, anon_sym_POUND, - ACTIONS(1009), 4, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(1013), 5, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - ACTIONS(997), 6, + ACTIONS(1265), 6, anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(211), 8, - aux_sym_grant_statement_token13, + [104140] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2678), 32, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_pg_command_token1, + aux_sym_null_hint_token2, + aux_sym_null_hint_token3, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + [104178] = 15, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2632), 1, + aux_sym_grant_statement_token12, + ACTIONS(2634), 1, aux_sym_order_by_clause_token1, + ACTIONS(2636), 1, + aux_sym_limit_clause_token1, + ACTIONS(2638), 1, aux_sym_where_clause_token1, + ACTIONS(2642), 1, aux_sym_join_type_token1, + ACTIONS(2646), 1, + aux_sym_join_clause_token1, + STATE(1716), 1, + sym_where_clause, + STATE(1750), 1, + sym_group_by_clause, + STATE(1790), 1, + sym_order_by_clause, + STATE(1827), 1, + sym_limit_clause, + STATE(3316), 1, + sym_join_type, + STATE(1684), 2, + sym_join_clause, + aux_sym__select_statement_repeat1, + ACTIONS(2644), 3, aux_sym_join_type_token2, aux_sym_join_type_token3, aux_sym_join_type_token4, - aux_sym_join_clause_token1, - [38783] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(355), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(353), 35, + ACTIONS(2680), 16, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, aux_sym_pg_command_token1, - anon_sym_EQ, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, + [104242] = 17, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, aux_sym_is_expression_token1, + ACTIONS(1269), 1, aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, + ACTIONS(2682), 1, + aux_sym_conditional_expression_token5, + ACTIONS(1271), 2, anon_sym_PLUS, - anon_sym_CARET, + anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [38833] = 3, + [104310] = 17, ACTIONS(3), 1, sym_comment, - ACTIONS(197), 20, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_COLON_COLON, - anon_sym_PLUS, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, + aux_sym_boolean_expression_token2, + ACTIONS(2684), 1, + anon_sym_RBRACK, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(199), 22, - aux_sym_sequence_token2, - aux_sym_sequence_token3, + [104378] = 17, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, + ACTIONS(1267), 1, aux_sym_is_expression_token1, + ACTIONS(1269), 1, aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, - sym__unquoted_identifier, + ACTIONS(2686), 1, + anon_sym_RPAREN, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [38883] = 10, + ACTIONS(1243), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(1265), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [104446] = 17, ACTIONS(3), 1, sym_comment, - ACTIONS(359), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(981), 1, - anon_sym_DASH, - ACTIONS(983), 1, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, anon_sym_CARET, - ACTIONS(987), 1, + ACTIONS(1245), 1, anon_sym_SLASH, - ACTIONS(979), 3, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, + aux_sym_boolean_expression_token2, + ACTIONS(2688), 1, + anon_sym_RPAREN, + ACTIONS(1271), 2, anon_sym_PLUS, anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, anon_sym_POUND, - ACTIONS(1019), 4, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(985), 5, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - ACTIONS(1017), 6, + ACTIONS(1265), 6, anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(357), 20, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - [38947] = 11, + [104514] = 17, ACTIONS(3), 1, sym_comment, - ACTIONS(359), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(981), 1, - anon_sym_DASH, - ACTIONS(983), 1, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, anon_sym_CARET, - ACTIONS(987), 1, + ACTIONS(1245), 1, anon_sym_SLASH, - ACTIONS(1021), 1, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(1269), 1, aux_sym_boolean_expression_token1, - ACTIONS(979), 3, + ACTIONS(1279), 1, + aux_sym_boolean_expression_token2, + ACTIONS(2690), 1, + anon_sym_RPAREN, + ACTIONS(1271), 2, anon_sym_PLUS, anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, anon_sym_POUND, - ACTIONS(1019), 4, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(985), 5, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - ACTIONS(1017), 6, + ACTIONS(1265), 6, anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(357), 19, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token2, - [39013] = 3, + [104582] = 17, ACTIONS(3), 1, sym_comment, - ACTIONS(181), 20, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_LBRACK, - anon_sym_PLUS, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, + aux_sym_boolean_expression_token2, + ACTIONS(2692), 1, + anon_sym_RBRACK, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(183), 22, - aux_sym_sequence_token2, - aux_sym_sequence_token3, + [104650] = 17, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, + ACTIONS(1267), 1, aux_sym_is_expression_token1, + ACTIONS(1269), 1, aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, - sym__unquoted_identifier, + ACTIONS(2694), 1, + anon_sym_RBRACK, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [39063] = 3, + ACTIONS(1243), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(1265), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [104718] = 17, ACTIONS(3), 1, sym_comment, - ACTIONS(267), 7, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, + aux_sym_boolean_expression_token2, + ACTIONS(2696), 1, + aux_sym_conditional_expression_token5, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(265), 35, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [39113] = 9, + [104786] = 17, ACTIONS(3), 1, sym_comment, - ACTIONS(1023), 1, - anon_sym_LPAREN, - ACTIONS(1025), 1, - anon_sym_DOT, - ACTIONS(1027), 1, - anon_sym_DASH_GT_GT, - ACTIONS(1029), 1, - anon_sym_LBRACK, - ACTIONS(1031), 1, - anon_sym_COLON_COLON, - STATE(636), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(87), 8, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, + ACTIONS(2698), 1, + anon_sym_RBRACK, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(85), 28, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [39175] = 3, + [104854] = 17, ACTIONS(3), 1, sym_comment, - ACTIONS(369), 7, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, + aux_sym_boolean_expression_token2, + ACTIONS(2700), 1, + anon_sym_RBRACK, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(367), 35, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [39225] = 4, + [104922] = 17, ACTIONS(3), 1, sym_comment, - ACTIONS(503), 1, - anon_sym_LBRACK, - ACTIONS(265), 19, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, + aux_sym_boolean_expression_token2, + ACTIONS(2702), 1, + anon_sym_RBRACK, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(267), 22, - aux_sym_sequence_token2, - aux_sym_sequence_token3, + [104990] = 17, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, + ACTIONS(1267), 1, aux_sym_is_expression_token1, + ACTIONS(1269), 1, aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, - sym__unquoted_identifier, + ACTIONS(2704), 1, + aux_sym_conditional_expression_token5, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [39277] = 3, + ACTIONS(1243), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(1265), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [105058] = 17, ACTIONS(3), 1, sym_comment, - ACTIONS(73), 7, - aux_sym_sequence_token5, - anon_sym_DASH, - anon_sym_TILDE, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(71), 35, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_null_hint_token3, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, + ACTIONS(1267), 1, aux_sym_is_expression_token1, + ACTIONS(1269), 1, aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, - anon_sym_LBRACK, + ACTIONS(2706), 1, anon_sym_RBRACK, + ACTIONS(1271), 2, anon_sym_PLUS, - anon_sym_CARET, + anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [39327] = 4, + [105126] = 17, ACTIONS(3), 1, sym_comment, - ACTIONS(1033), 1, - anon_sym_DOT_STAR, - ACTIONS(265), 19, - anon_sym_EQ, - anon_sym_COMMA, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, + aux_sym_boolean_expression_token2, + ACTIONS(2708), 1, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, + ACTIONS(1271), 2, anon_sym_PLUS, - anon_sym_CARET, + anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(267), 22, - aux_sym_sequence_token2, - aux_sym_sequence_token3, + [105194] = 17, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, + ACTIONS(1267), 1, aux_sym_is_expression_token1, + ACTIONS(1269), 1, aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, - sym__unquoted_identifier, + ACTIONS(2710), 1, + aux_sym_conditional_expression_token5, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [39379] = 4, - ACTIONS(3), 1, - sym_comment, - ACTIONS(505), 1, - anon_sym_COLON_COLON, - ACTIONS(265), 19, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(267), 22, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [39431] = 3, + [105262] = 17, ACTIONS(3), 1, sym_comment, - ACTIONS(183), 7, - aux_sym_sequence_token5, - anon_sym_DASH, - anon_sym_TILDE, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(181), 35, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_null_hint_token3, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, + ACTIONS(1267), 1, aux_sym_is_expression_token1, + ACTIONS(1269), 1, aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, - anon_sym_LBRACK, - anon_sym_RBRACK, + ACTIONS(2712), 1, + anon_sym_RPAREN, + ACTIONS(1271), 2, anon_sym_PLUS, - anon_sym_CARET, + anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [39481] = 3, + [105330] = 15, ACTIONS(3), 1, sym_comment, - ACTIONS(335), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(333), 35, + ACTIONS(2632), 1, + aux_sym_grant_statement_token12, + ACTIONS(2634), 1, + aux_sym_order_by_clause_token1, + ACTIONS(2636), 1, + aux_sym_limit_clause_token1, + ACTIONS(2638), 1, + aux_sym_where_clause_token1, + ACTIONS(2642), 1, + aux_sym_join_type_token1, + ACTIONS(2646), 1, + aux_sym_join_clause_token1, + STATE(1713), 1, + sym_where_clause, + STATE(1753), 1, + sym_group_by_clause, + STATE(1791), 1, + sym_order_by_clause, + STATE(1831), 1, + sym_limit_clause, + STATE(3316), 1, + sym_join_type, + STATE(1610), 2, + sym_join_clause, + aux_sym__select_statement_repeat1, + ACTIONS(2644), 3, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + ACTIONS(2714), 16, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, aux_sym_pg_command_token1, - anon_sym_EQ, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, + [105394] = 17, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, aux_sym_is_expression_token1, + ACTIONS(1269), 1, aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, + ACTIONS(2716), 1, + anon_sym_RPAREN, + ACTIONS(1271), 2, anon_sym_PLUS, - anon_sym_CARET, + anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [39531] = 3, + [105462] = 17, ACTIONS(3), 1, sym_comment, - ACTIONS(343), 7, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, + aux_sym_boolean_expression_token2, + ACTIONS(2718), 1, + anon_sym_RPAREN, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(341), 35, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, + ACTIONS(1243), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(1265), 6, anon_sym_EQ, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [105530] = 17, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, aux_sym_is_expression_token1, + ACTIONS(1269), 1, aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, + ACTIONS(2720), 1, + anon_sym_RPAREN, + ACTIONS(1271), 2, anon_sym_PLUS, - anon_sym_CARET, + anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [39581] = 3, + [105598] = 17, ACTIONS(3), 1, sym_comment, - ACTIONS(187), 7, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, + aux_sym_boolean_expression_token2, + ACTIONS(2722), 1, + anon_sym_RPAREN, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(185), 35, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, + ACTIONS(1243), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(1265), 6, anon_sym_EQ, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [105666] = 17, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, aux_sym_is_expression_token1, + ACTIONS(1269), 1, aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, + ACTIONS(2724), 1, + anon_sym_RPAREN, + ACTIONS(1271), 2, anon_sym_PLUS, - anon_sym_CARET, + anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [39631] = 3, + [105734] = 17, ACTIONS(3), 1, sym_comment, - ACTIONS(373), 7, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, + aux_sym_boolean_expression_token2, + ACTIONS(2726), 1, + aux_sym_conditional_expression_token5, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(371), 35, + ACTIONS(1243), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(1265), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [105802] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2728), 32, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, + aux_sym_alter_table_action_alter_column_token3, aux_sym_pg_command_token1, - anon_sym_EQ, + aux_sym_null_hint_token2, + aux_sym_null_hint_token3, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, aux_sym_mode_token1, aux_sym_initial_mode_token1, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + [105840] = 17, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, aux_sym_is_expression_token1, + ACTIONS(1269), 1, aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, + ACTIONS(2730), 1, + aux_sym_conditional_expression_token5, + ACTIONS(1271), 2, anon_sym_PLUS, - anon_sym_CARET, + anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [39681] = 14, + [105908] = 17, ACTIONS(3), 1, sym_comment, - ACTIONS(921), 1, - aux_sym_sequence_token2, - ACTIONS(923), 1, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, - ACTIONS(929), 1, + ACTIONS(1267), 1, aux_sym_is_expression_token1, - ACTIONS(931), 1, + ACTIONS(1269), 1, aux_sym_boolean_expression_token1, - ACTIONS(933), 1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, - ACTIONS(937), 1, - anon_sym_DASH, - ACTIONS(941), 1, - anon_sym_CARET, - ACTIONS(945), 1, - anon_sym_SLASH, - ACTIONS(935), 3, + ACTIONS(2732), 1, + aux_sym_conditional_expression_token5, + ACTIONS(1271), 2, anon_sym_PLUS, anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, anon_sym_POUND, - ACTIONS(939), 4, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(943), 5, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - ACTIONS(925), 6, + ACTIONS(1265), 6, anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(1035), 16, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - [39753] = 4, + [105976] = 17, ACTIONS(3), 1, sym_comment, - ACTIONS(1037), 1, - anon_sym_LPAREN, - ACTIONS(173), 20, - anon_sym_EQ, - anon_sym_COMMA, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, + aux_sym_boolean_expression_token2, + ACTIONS(2734), 1, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_LBRACK, + ACTIONS(1271), 2, anon_sym_PLUS, - anon_sym_CARET, + anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(175), 21, - aux_sym_sequence_token2, - aux_sym_sequence_token3, + [106044] = 17, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, + ACTIONS(1267), 1, aux_sym_is_expression_token1, + ACTIONS(1269), 1, aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, - sym__unquoted_identifier, + ACTIONS(2736), 1, + aux_sym_conditional_expression_token5, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - [39805] = 4, + ACTIONS(1243), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(1265), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [106112] = 17, ACTIONS(3), 1, sym_comment, - ACTIONS(461), 1, - anon_sym_COLON_COLON, - ACTIONS(267), 7, - aux_sym_sequence_token5, - anon_sym_DASH, - anon_sym_TILDE, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(265), 34, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_null_hint_token3, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, + ACTIONS(1267), 1, aux_sym_is_expression_token1, + ACTIONS(1269), 1, aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, - anon_sym_RBRACK, + ACTIONS(2738), 1, + aux_sym_conditional_expression_token5, + ACTIONS(1271), 2, anon_sym_PLUS, - anon_sym_CARET, + anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [39857] = 4, + [106180] = 17, ACTIONS(3), 1, sym_comment, - ACTIONS(1039), 1, - anon_sym_DOT_STAR, - ACTIONS(267), 7, - aux_sym_sequence_token5, - anon_sym_DASH, - anon_sym_TILDE, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(265), 34, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_null_hint_token3, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, + ACTIONS(1267), 1, aux_sym_is_expression_token1, + ACTIONS(1269), 1, aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, - anon_sym_RBRACK, + ACTIONS(2740), 1, + aux_sym_conditional_expression_token5, + ACTIONS(1271), 2, anon_sym_PLUS, - anon_sym_CARET, + anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [39909] = 6, + [106248] = 17, ACTIONS(3), 1, sym_comment, - ACTIONS(983), 1, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, anon_sym_CARET, - ACTIONS(987), 1, + ACTIONS(1245), 1, anon_sym_SLASH, - ACTIONS(985), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(313), 6, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(311), 29, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - anon_sym_EQ, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, + ACTIONS(1267), 1, aux_sym_is_expression_token1, + ACTIONS(1269), 1, aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, + ACTIONS(2742), 1, + anon_sym_RPAREN, + ACTIONS(1271), 2, anon_sym_PLUS, anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1243), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [39965] = 3, + [106316] = 17, ACTIONS(3), 1, sym_comment, - ACTIONS(313), 7, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, + aux_sym_boolean_expression_token2, + ACTIONS(2744), 1, + anon_sym_RBRACK, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(311), 35, + ACTIONS(1243), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(1265), 6, + anon_sym_EQ, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [106384] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2746), 32, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, + aux_sym_alter_table_action_alter_column_token3, aux_sym_pg_command_token1, - anon_sym_EQ, + aux_sym_null_hint_token2, + aux_sym_null_hint_token3, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, aux_sym_mode_token1, aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [40015] = 4, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + [106422] = 15, ACTIONS(3), 1, sym_comment, - ACTIONS(983), 1, - anon_sym_CARET, - ACTIONS(313), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(311), 34, + ACTIONS(2632), 1, + aux_sym_grant_statement_token12, + ACTIONS(2634), 1, + aux_sym_order_by_clause_token1, + ACTIONS(2636), 1, + aux_sym_limit_clause_token1, + ACTIONS(2638), 1, + aux_sym_where_clause_token1, + ACTIONS(2642), 1, + aux_sym_join_type_token1, + ACTIONS(2646), 1, + aux_sym_join_clause_token1, + STATE(1713), 1, + sym_where_clause, + STATE(1753), 1, + sym_group_by_clause, + STATE(1791), 1, + sym_order_by_clause, + STATE(1831), 1, + sym_limit_clause, + STATE(3316), 1, + sym_join_type, + STATE(1684), 2, + sym_join_clause, + aux_sym__select_statement_repeat1, + ACTIONS(2644), 3, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + ACTIONS(2714), 16, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, aux_sym_pg_command_token1, - anon_sym_EQ, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, + [106486] = 17, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, aux_sym_is_expression_token1, + ACTIONS(1269), 1, aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, + ACTIONS(2748), 1, + anon_sym_RBRACK, + ACTIONS(1271), 2, anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [40067] = 4, + [106554] = 17, ACTIONS(3), 1, sym_comment, - ACTIONS(459), 1, - anon_sym_LBRACK, - ACTIONS(267), 7, - aux_sym_sequence_token5, - anon_sym_DASH, - anon_sym_TILDE, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(265), 34, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_null_hint_token3, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, + ACTIONS(1267), 1, aux_sym_is_expression_token1, + ACTIONS(1269), 1, aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, - anon_sym_RBRACK, + ACTIONS(2750), 1, + aux_sym_conditional_expression_token5, + ACTIONS(1271), 2, anon_sym_PLUS, - anon_sym_CARET, + anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [40119] = 3, + [106622] = 17, ACTIONS(3), 1, sym_comment, - ACTIONS(323), 7, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, + aux_sym_boolean_expression_token2, + ACTIONS(2752), 1, + anon_sym_RBRACK, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(321), 35, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, + ACTIONS(1243), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(1265), 6, anon_sym_EQ, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [106690] = 17, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, aux_sym_is_expression_token1, + ACTIONS(1269), 1, aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, + ACTIONS(2754), 1, + anon_sym_RBRACK, + ACTIONS(1271), 2, anon_sym_PLUS, - anon_sym_CARET, + anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [40169] = 3, + [106758] = 17, ACTIONS(3), 1, sym_comment, - ACTIONS(319), 7, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, + aux_sym_boolean_expression_token2, + ACTIONS(2756), 1, + anon_sym_RBRACK, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(317), 35, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, + ACTIONS(1243), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(1265), 6, anon_sym_EQ, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [106826] = 17, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, aux_sym_is_expression_token1, + ACTIONS(1269), 1, aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, + ACTIONS(2758), 1, + anon_sym_RPAREN, + ACTIONS(1271), 2, anon_sym_PLUS, - anon_sym_CARET, + anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [40219] = 3, + [106894] = 17, ACTIONS(3), 1, sym_comment, - ACTIONS(203), 7, - aux_sym_sequence_token5, - anon_sym_DASH, - anon_sym_TILDE, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(201), 35, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_null_hint_token3, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, + ACTIONS(1267), 1, aux_sym_is_expression_token1, + ACTIONS(1269), 1, aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, + ACTIONS(2760), 1, anon_sym_RBRACK, - anon_sym_COLON_COLON, + ACTIONS(1271), 2, anon_sym_PLUS, - anon_sym_CARET, + anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [40269] = 14, + [106962] = 17, ACTIONS(3), 1, sym_comment, - ACTIONS(921), 1, - aux_sym_sequence_token2, - ACTIONS(923), 1, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, - ACTIONS(929), 1, + ACTIONS(1267), 1, aux_sym_is_expression_token1, - ACTIONS(931), 1, + ACTIONS(1269), 1, aux_sym_boolean_expression_token1, - ACTIONS(933), 1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, - ACTIONS(937), 1, - anon_sym_DASH, - ACTIONS(941), 1, - anon_sym_CARET, - ACTIONS(945), 1, - anon_sym_SLASH, - ACTIONS(935), 3, + ACTIONS(2762), 1, + anon_sym_RPAREN, + ACTIONS(1271), 2, anon_sym_PLUS, anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, anon_sym_POUND, - ACTIONS(939), 4, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(943), 5, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - ACTIONS(925), 6, + ACTIONS(1265), 6, anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(1041), 16, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - anon_sym_COMMA, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_order_by_clause_token1, - [40341] = 14, + [107030] = 17, ACTIONS(3), 1, sym_comment, - ACTIONS(981), 1, - anon_sym_DASH, - ACTIONS(983), 1, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, anon_sym_CARET, - ACTIONS(987), 1, + ACTIONS(1245), 1, anon_sym_SLASH, - ACTIONS(1021), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1045), 1, - aux_sym_sequence_token2, - ACTIONS(1047), 1, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, - ACTIONS(1049), 1, + ACTIONS(1267), 1, aux_sym_is_expression_token1, - ACTIONS(1051), 1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, - ACTIONS(979), 3, + ACTIONS(2764), 1, + anon_sym_RBRACK, + ACTIONS(1271), 2, anon_sym_PLUS, anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, anon_sym_POUND, - ACTIONS(1019), 4, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(985), 5, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - ACTIONS(1017), 6, + ACTIONS(1265), 6, anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(1043), 16, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - [40413] = 3, + [107098] = 17, ACTIONS(3), 1, sym_comment, - ACTIONS(207), 7, - aux_sym_sequence_token5, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, + aux_sym_is_expression_token1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, + aux_sym_boolean_expression_token2, + ACTIONS(2766), 1, + anon_sym_RBRACK, + ACTIONS(1271), 2, + anon_sym_PLUS, + anon_sym_PIPE, + ACTIONS(1273), 2, anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, - anon_sym_SLASH, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(205), 35, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_null_hint_token3, - aux_sym_create_function_parameter_token1, + ACTIONS(1243), 5, + anon_sym_STAR, + anon_sym_PERCENT, + anon_sym_LT_LT, + anon_sym_GT_GT, + anon_sym_AMP, + ACTIONS(1265), 6, anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, + anon_sym_LT_EQ, + anon_sym_LT_GT, + anon_sym_GT_EQ, + anon_sym_TILDE_STAR, + anon_sym_BANG_TILDE_STAR, + [107166] = 17, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, + anon_sym_CARET, + ACTIONS(1245), 1, + anon_sym_SLASH, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, + aux_sym_create_function_parameter_token1, + ACTIONS(1267), 1, aux_sym_is_expression_token1, + ACTIONS(1269), 1, aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, - anon_sym_RBRACK, - anon_sym_COLON_COLON, + ACTIONS(2768), 1, + aux_sym_conditional_expression_token3, + ACTIONS(1271), 2, anon_sym_PLUS, - anon_sym_CARET, + anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, + anon_sym_POUND, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, + anon_sym_TILDE, + anon_sym_LT, + anon_sym_GT, + anon_sym_BANG_TILDE, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, + ACTIONS(1265), 6, + anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - [40463] = 16, + [107234] = 17, ACTIONS(3), 1, sym_comment, - ACTIONS(315), 1, + ACTIONS(1203), 1, + aux_sym_at_time_zone_expression_token1, + ACTIONS(1205), 1, anon_sym_CARET, - ACTIONS(347), 1, - anon_sym_DASH, - ACTIONS(351), 1, + ACTIONS(1245), 1, anon_sym_SLASH, - ACTIONS(365), 1, - aux_sym_boolean_expression_token1, - ACTIONS(385), 1, - aux_sym_sequence_token2, - ACTIONS(389), 1, + ACTIONS(1261), 1, + aux_sym_cte_token2, + ACTIONS(1263), 1, aux_sym_create_function_parameter_token1, - ACTIONS(391), 1, + ACTIONS(1267), 1, aux_sym_is_expression_token1, - ACTIONS(393), 1, + ACTIONS(1269), 1, + aux_sym_boolean_expression_token1, + ACTIONS(1279), 1, aux_sym_boolean_expression_token2, - ACTIONS(1055), 1, - anon_sym_COMMA, - STATE(1052), 1, - aux_sym_group_by_clause_body_repeat1, - ACTIONS(345), 3, + ACTIONS(2770), 1, + aux_sym_conditional_expression_token5, + ACTIONS(1271), 2, anon_sym_PLUS, anon_sym_PIPE, + ACTIONS(1273), 2, + anon_sym_DASH, anon_sym_POUND, - ACTIONS(363), 4, + ACTIONS(1951), 2, + anon_sym_DASH_GT, + anon_sym_POUND_GT, + ACTIONS(1953), 2, + anon_sym_DASH_GT_GT, + anon_sym_POUND_GT_GT, + ACTIONS(1275), 4, anon_sym_TILDE, anon_sym_LT, anon_sym_GT, anon_sym_BANG_TILDE, - ACTIONS(349), 5, + ACTIONS(1243), 5, anon_sym_STAR, anon_sym_PERCENT, anon_sym_LT_LT, anon_sym_GT_GT, anon_sym_AMP, - ACTIONS(361), 6, + ACTIONS(1265), 6, anon_sym_EQ, anon_sym_LT_EQ, anon_sym_LT_GT, anon_sym_GT_EQ, anon_sym_TILDE_STAR, anon_sym_BANG_TILDE_STAR, - ACTIONS(1053), 14, + [107302] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2772), 1, + anon_sym_DOT, + STATE(1663), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(113), 29, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + anon_sym_LPAREN, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_alter_column_token3, aux_sym_pg_command_token1, + aux_sym_null_hint_token2, + aux_sym_null_hint_token3, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - [40539] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(303), 7, - aux_sym_sequence_token5, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(301), 35, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_null_hint_token3, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token9, + aux_sym_grant_statement_token8, aux_sym_auto_increment_constraint_token1, aux_sym_direction_constraint_token1, aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, anon_sym_CONSTRAINT, aux_sym_table_constraint_check_token1, aux_sym_table_constraint_unique_token1, aux_sym_table_constraint_primary_key_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + [107343] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2774), 1, + anon_sym_DOT, + STATE(1658), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(94), 2, + aux_sym_with_clause_token1, + aux_sym_sequence_token5, + ACTIONS(92), 27, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_cte_token2, + anon_sym_LPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_sequence_token2, + aux_sym_sequence_token3, + aux_sym_sequence_token8, + aux_sym_sequence_token9, + aux_sym_pg_command_token1, + aux_sym_null_hint_token3, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_table_constraint_check_token1, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, anon_sym_LBRACK, - anon_sym_RBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [40589] = 3, + [107386] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(249), 7, + ACTIONS(2777), 1, + anon_sym_DOT, + STATE(1658), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(115), 2, + aux_sym_with_clause_token1, aux_sym_sequence_token5, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(247), 35, + ACTIONS(113), 27, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_cte_token2, + anon_sym_LPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_sequence_token2, + aux_sym_sequence_token3, + aux_sym_sequence_token8, + aux_sym_sequence_token9, + aux_sym_pg_command_token1, + aux_sym_null_hint_token3, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_table_constraint_check_token1, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, + [107429] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2779), 31, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token1, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_create_function_statement_token3, + aux_sym_optimizer_hint_token1, + aux_sym_optimizer_hint_token2, + aux_sym_optimizer_hint_token3, + aux_sym_parallel_hint_token1, + aux_sym_parallel_hint_token2, + aux_sym_parallel_hint_token3, + aux_sym_parallel_hint_token4, + aux_sym_null_hint_token1, + aux_sym_null_hint_token5, + aux_sym__function_language_token1, + anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [107466] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2777), 1, + anon_sym_DOT, + STATE(1659), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(121), 2, + aux_sym_with_clause_token1, + aux_sym_sequence_token5, + ACTIONS(119), 27, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_cte_token2, + anon_sym_LPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_sequence_token2, + aux_sym_sequence_token3, + aux_sym_sequence_token8, + aux_sym_sequence_token9, + aux_sym_pg_command_token1, + aux_sym_null_hint_token3, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_table_constraint_check_token1, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, + [107509] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2772), 1, + anon_sym_DOT, + STATE(1657), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(119), 29, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + anon_sym_LPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, + aux_sym_pg_command_token1, + aux_sym_null_hint_token2, aux_sym_null_hint_token3, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token9, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, aux_sym_auto_increment_constraint_token1, aux_sym_direction_constraint_token1, aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, anon_sym_CONSTRAINT, aux_sym_table_constraint_check_token1, aux_sym_table_constraint_unique_token1, aux_sym_table_constraint_primary_key_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_RBRACK, - anon_sym_COLON_COLON, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [40639] = 4, + [107550] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1057), 1, - anon_sym_LBRACK, - ACTIONS(193), 7, - aux_sym_sequence_token5, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(191), 34, + ACTIONS(2781), 1, + anon_sym_DOT, + STATE(1663), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(92), 29, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + anon_sym_LPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, + aux_sym_pg_command_token1, + aux_sym_null_hint_token2, aux_sym_null_hint_token3, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token9, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, aux_sym_auto_increment_constraint_token1, aux_sym_direction_constraint_token1, aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, anon_sym_CONSTRAINT, aux_sym_table_constraint_check_token1, aux_sym_table_constraint_unique_token1, aux_sym_table_constraint_primary_key_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_RBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [40691] = 3, + [107591] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(299), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(297), 35, + ACTIONS(119), 1, + anon_sym_COLON_COLON, + ACTIONS(749), 1, + anon_sym_LPAREN, + ACTIONS(2786), 1, + anon_sym_DOT, + STATE(2087), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(2784), 27, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, + aux_sym_alter_table_action_alter_column_token3, aux_sym_pg_command_token1, - anon_sym_EQ, + aux_sym_null_hint_token3, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [40741] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(253), 7, - aux_sym_sequence_token5, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(251), 35, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_null_hint_token3, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token9, + aux_sym_grant_statement_token8, aux_sym_auto_increment_constraint_token1, aux_sym_direction_constraint_token1, aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, anon_sym_CONSTRAINT, aux_sym_table_constraint_check_token1, aux_sym_table_constraint_unique_token1, aux_sym_table_constraint_primary_key_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_RBRACK, - anon_sym_COLON_COLON, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [40791] = 3, + [107636] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(199), 7, - aux_sym_sequence_token5, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(197), 35, + ACTIONS(2788), 30, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, + aux_sym_pg_command_token1, aux_sym_null_hint_token3, - aux_sym_create_function_parameter_token1, anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token9, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, aux_sym_auto_increment_constraint_token1, aux_sym_direction_constraint_token1, aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, anon_sym_CONSTRAINT, aux_sym_table_constraint_check_token1, aux_sym_table_constraint_unique_token1, aux_sym_table_constraint_primary_key_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_RBRACK, - anon_sym_COLON_COLON, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [40841] = 3, + [107672] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(339), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(337), 35, + ACTIONS(2790), 30, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, + aux_sym_alter_table_action_alter_column_token3, aux_sym_pg_command_token1, + aux_sym_null_hint_token3, anon_sym_EQ, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [40891] = 14, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + [107708] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(981), 1, - anon_sym_DASH, - ACTIONS(983), 1, - anon_sym_CARET, - ACTIONS(987), 1, - anon_sym_SLASH, - ACTIONS(1021), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1045), 1, - aux_sym_sequence_token2, - ACTIONS(1047), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1049), 1, - aux_sym_is_expression_token1, - ACTIONS(1051), 1, - aux_sym_boolean_expression_token2, - ACTIONS(979), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1019), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(985), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1017), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(383), 16, + ACTIONS(2792), 30, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_alter_column_token3, aux_sym_pg_command_token1, + aux_sym_null_hint_token3, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - [40963] = 3, + aux_sym_grant_statement_token8, + aux_sym_create_index_statement_token1, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + [107744] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(381), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(379), 35, + ACTIONS(2794), 29, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, + aux_sym_alter_table_action_alter_column_token3, aux_sym_pg_command_token1, - anon_sym_EQ, + aux_sym_null_hint_token3, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [41013] = 3, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + [107779] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(71), 20, - anon_sym_EQ, + ACTIONS(2796), 29, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, anon_sym_COMMA, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_LBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(73), 22, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_null_hint_token2, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_from_clause_token1, aux_sym_join_type_token1, @@ -48046,45 +116942,32 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_join_type_token3, aux_sym_join_type_token4, aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [41063] = 3, + [107814] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(321), 19, - anon_sym_EQ, + ACTIONS(2798), 29, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, anon_sym_COMMA, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(323), 22, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_null_hint_token2, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_from_clause_token1, aux_sym_join_type_token1, @@ -48092,3333 +116975,3514 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_join_type_token3, aux_sym_join_type_token4, aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [41112] = 8, + [107849] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(313), 5, - aux_sym_sequence_token5, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(311), 25, + ACTIONS(2800), 29, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, + aux_sym_pg_command_token1, aux_sym_null_hint_token3, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + [107884] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2802), 29, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, anon_sym_COMMA, + aux_sym_cte_token2, anon_sym_RPAREN, - aux_sym_grant_statement_token9, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_pg_command_token1, + aux_sym_null_hint_token3, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, aux_sym_auto_increment_constraint_token1, aux_sym_direction_constraint_token1, aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, anon_sym_CONSTRAINT, aux_sym_table_constraint_check_token1, aux_sym_table_constraint_unique_token1, aux_sym_table_constraint_primary_key_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_RBRACK, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [41171] = 3, + [107919] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(343), 7, - aux_sym_sequence_token5, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(341), 34, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_null_hint_token3, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, + ACTIONS(2804), 29, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, anon_sym_COMMA, + aux_sym_cte_token2, anon_sym_RPAREN, - aux_sym_grant_statement_token9, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_pg_command_token1, + aux_sym_null_hint_token3, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, aux_sym_auto_increment_constraint_token1, aux_sym_direction_constraint_token1, aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, anon_sym_CONSTRAINT, aux_sym_table_constraint_check_token1, aux_sym_table_constraint_unique_token1, aux_sym_table_constraint_primary_key_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_RBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [41220] = 3, + [107954] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(335), 7, - aux_sym_sequence_token5, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(333), 34, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_null_hint_token3, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, + ACTIONS(2806), 29, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, anon_sym_COMMA, + aux_sym_cte_token2, anon_sym_RPAREN, - aux_sym_grant_statement_token9, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_pg_command_token1, + aux_sym_null_hint_token3, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, aux_sym_auto_increment_constraint_token1, aux_sym_direction_constraint_token1, aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, anon_sym_CONSTRAINT, aux_sym_table_constraint_check_token1, aux_sym_table_constraint_unique_token1, aux_sym_table_constraint_primary_key_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_RBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [41269] = 3, + [107989] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(197), 20, - anon_sym_EQ, + ACTIONS(2810), 1, anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_COLON_COLON, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(199), 21, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, + STATE(1675), 1, + aux_sym_select_clause_body_repeat1, + ACTIONS(2808), 26, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, + aux_sym_from_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, aux_sym_join_type_token3, aux_sym_join_type_token4, aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [41318] = 3, + [108027] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(323), 7, - aux_sym_sequence_token5, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(321), 34, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_null_hint_token3, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_RBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [41367] = 3, + ACTIONS(2813), 28, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_create_function_statement_token3, + aux_sym_optimizer_hint_token1, + aux_sym_optimizer_hint_token2, + aux_sym_optimizer_hint_token3, + aux_sym_parallel_hint_token1, + aux_sym_parallel_hint_token2, + aux_sym_parallel_hint_token3, + aux_sym_parallel_hint_token4, + aux_sym_null_hint_token1, + aux_sym_null_hint_token5, + aux_sym__function_language_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [108061] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(301), 20, - anon_sym_EQ, + ACTIONS(2815), 28, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_create_function_statement_token3, + aux_sym_optimizer_hint_token1, + aux_sym_optimizer_hint_token2, + aux_sym_optimizer_hint_token3, + aux_sym_parallel_hint_token1, + aux_sym_parallel_hint_token2, + aux_sym_parallel_hint_token3, + aux_sym_parallel_hint_token4, + aux_sym_null_hint_token1, + aux_sym_null_hint_token5, + aux_sym__function_language_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [108095] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2808), 28, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, anon_sym_COMMA, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_LBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(303), 21, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, + aux_sym_from_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, aux_sym_join_type_token3, aux_sym_join_type_token4, aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [41416] = 3, + [108129] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(373), 7, - aux_sym_sequence_token5, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(371), 34, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_null_hint_token3, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, + ACTIONS(2817), 28, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_create_function_statement_token3, + aux_sym_optimizer_hint_token1, + aux_sym_optimizer_hint_token2, + aux_sym_optimizer_hint_token3, + aux_sym_parallel_hint_token1, + aux_sym_parallel_hint_token2, + aux_sym_parallel_hint_token3, + aux_sym_parallel_hint_token4, + aux_sym_null_hint_token1, + aux_sym_null_hint_token5, + aux_sym__function_language_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [108163] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2603), 28, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_create_function_statement_token3, + aux_sym_optimizer_hint_token1, + aux_sym_optimizer_hint_token2, + aux_sym_optimizer_hint_token3, + aux_sym_parallel_hint_token1, + aux_sym_parallel_hint_token2, + aux_sym_parallel_hint_token3, + aux_sym_parallel_hint_token4, + aux_sym_null_hint_token1, + aux_sym_null_hint_token5, + aux_sym__function_language_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [108197] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2821), 1, anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_RBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [41465] = 3, + STATE(1685), 1, + aux_sym_select_clause_body_repeat1, + ACTIONS(2819), 26, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + [108235] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2823), 28, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_create_function_statement_token3, + aux_sym_optimizer_hint_token1, + aux_sym_optimizer_hint_token2, + aux_sym_optimizer_hint_token3, + aux_sym_parallel_hint_token1, + aux_sym_parallel_hint_token2, + aux_sym_parallel_hint_token3, + aux_sym_parallel_hint_token4, + aux_sym_null_hint_token1, + aux_sym_null_hint_token5, + aux_sym__function_language_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [108269] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2790), 28, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_create_function_statement_token3, + aux_sym_optimizer_hint_token1, + aux_sym_optimizer_hint_token2, + aux_sym_optimizer_hint_token3, + aux_sym_parallel_hint_token1, + aux_sym_parallel_hint_token2, + aux_sym_parallel_hint_token3, + aux_sym_parallel_hint_token4, + aux_sym_null_hint_token1, + aux_sym_null_hint_token5, + aux_sym__function_language_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [108303] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2827), 1, + aux_sym_join_type_token1, + ACTIONS(2833), 1, + aux_sym_join_clause_token1, + STATE(3316), 1, + sym_join_type, + STATE(1684), 2, + sym_join_clause, + aux_sym__select_statement_repeat1, + ACTIONS(2830), 3, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + ACTIONS(2825), 20, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + [108347] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(251), 20, - anon_sym_EQ, + ACTIONS(2821), 1, anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_COLON_COLON, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(253), 21, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, + STATE(1675), 1, + aux_sym_select_clause_body_repeat1, + ACTIONS(2836), 26, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, + aux_sym_from_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, aux_sym_join_type_token3, aux_sym_join_type_token4, aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [41514] = 4, + [108385] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(313), 7, - aux_sym_sequence_token5, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(311), 33, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_null_hint_token3, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_RBRACK, - anon_sym_PLUS, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [41565] = 3, + ACTIONS(2838), 28, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_create_function_statement_token3, + aux_sym_optimizer_hint_token1, + aux_sym_optimizer_hint_token2, + aux_sym_optimizer_hint_token3, + aux_sym_parallel_hint_token1, + aux_sym_parallel_hint_token2, + aux_sym_parallel_hint_token3, + aux_sym_parallel_hint_token4, + aux_sym_null_hint_token1, + aux_sym_null_hint_token5, + aux_sym__function_language_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [108419] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(313), 7, - aux_sym_sequence_token5, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(311), 34, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_null_hint_token3, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_RBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [41614] = 3, + ACTIONS(2788), 28, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_create_function_statement_token3, + aux_sym_optimizer_hint_token1, + aux_sym_optimizer_hint_token2, + aux_sym_optimizer_hint_token3, + aux_sym_parallel_hint_token1, + aux_sym_parallel_hint_token2, + aux_sym_parallel_hint_token3, + aux_sym_parallel_hint_token4, + aux_sym_null_hint_token1, + aux_sym_null_hint_token5, + aux_sym__function_language_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [108453] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(377), 7, - aux_sym_sequence_token5, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(375), 34, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_null_hint_token3, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_RBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [41663] = 6, + ACTIONS(2840), 28, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_create_function_statement_token3, + aux_sym_optimizer_hint_token1, + aux_sym_optimizer_hint_token2, + aux_sym_optimizer_hint_token3, + aux_sym_parallel_hint_token1, + aux_sym_parallel_hint_token2, + aux_sym_parallel_hint_token3, + aux_sym_parallel_hint_token4, + aux_sym_null_hint_token1, + aux_sym_null_hint_token5, + aux_sym__function_language_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [108487] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(313), 6, - aux_sym_sequence_token5, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(311), 28, + ACTIONS(2842), 1, + anon_sym_COLON_COLON, + ACTIONS(2784), 27, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, + aux_sym_pg_command_token1, aux_sym_null_hint_token3, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token9, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token8, aux_sym_auto_increment_constraint_token1, aux_sym_direction_constraint_token1, aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, anon_sym_CONSTRAINT, aux_sym_table_constraint_check_token1, aux_sym_table_constraint_unique_token1, aux_sym_table_constraint_primary_key_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_RBRACK, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [41718] = 4, + [108523] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1069), 1, - anon_sym_LBRACK, - ACTIONS(191), 19, - anon_sym_EQ, + ACTIONS(2844), 28, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_cte_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_create_function_statement_token3, + aux_sym_optimizer_hint_token1, + aux_sym_optimizer_hint_token2, + aux_sym_optimizer_hint_token3, + aux_sym_parallel_hint_token1, + aux_sym_parallel_hint_token2, + aux_sym_parallel_hint_token3, + aux_sym_parallel_hint_token4, + aux_sym_null_hint_token1, + aux_sym_null_hint_token5, + aux_sym__function_language_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [108557] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2848), 1, anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(193), 21, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, + STATE(1692), 1, + aux_sym_select_clause_body_repeat1, + ACTIONS(2846), 25, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, aux_sym_join_type_token3, aux_sym_join_type_token4, aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [41769] = 11, + [108594] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(359), 1, - aux_sym_sequence_token5, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(357), 18, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_null_hint_token3, - aux_sym_create_function_parameter_token1, + ACTIONS(2848), 1, anon_sym_COMMA, + STATE(1694), 1, + aux_sym_select_clause_body_repeat1, + ACTIONS(2850), 25, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + [108631] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2852), 27, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, anon_sym_RPAREN, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_RBRACK, - [41834] = 3, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + [108664] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(247), 20, - anon_sym_EQ, + ACTIONS(2854), 1, anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_COLON_COLON, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(249), 21, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, + STATE(1694), 1, + aux_sym_select_clause_body_repeat1, + ACTIONS(2808), 25, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, aux_sym_join_type_token3, aux_sym_join_type_token4, aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [41883] = 10, + [108701] = 16, ACTIONS(3), 1, sym_comment, - ACTIONS(359), 1, - aux_sym_sequence_token5, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(357), 19, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_null_hint_token3, - aux_sym_create_function_parameter_token1, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token9, + ACTIONS(346), 1, + anon_sym_LBRACK, + ACTIONS(2170), 1, aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, + ACTIONS(2174), 1, anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, + ACTIONS(2178), 1, aux_sym_table_constraint_unique_token1, + ACTIONS(2180), 1, aux_sym_table_constraint_primary_key_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_RBRACK, - [41946] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(355), 7, - aux_sym_sequence_token5, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(353), 34, + ACTIONS(2857), 1, + aux_sym_cte_token2, + ACTIONS(2859), 1, aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, + ACTIONS(2861), 1, aux_sym_null_hint_token3, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, + ACTIONS(2863), 1, + aux_sym_grant_statement_token8, + ACTIONS(2865), 1, + aux_sym_table_constraint_check_token1, + STATE(79), 1, + aux_sym_array_type_repeat1, + STATE(1666), 1, + sym_NULL, + ACTIONS(2160), 2, anon_sym_COMMA, anon_sym_RPAREN, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, + ACTIONS(2172), 2, aux_sym_direction_constraint_token1, aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_RBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [41995] = 3, + STATE(1698), 10, + sym_auto_increment_constraint, + sym_direction_constraint, + sym_named_constraint, + sym_column_default, + sym_primary_key_constraint, + sym_references_constraint, + sym_unique_constraint, + sym_null_constraint, + sym_check_constraint, + aux_sym_table_column_repeat1, + [108761] = 9, ACTIONS(3), 1, sym_comment, - ACTIONS(319), 7, - aux_sym_sequence_token5, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(317), 34, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, + ACTIONS(346), 1, + anon_sym_LBRACK, + ACTIONS(2176), 1, + aux_sym_table_constraint_check_token1, + ACTIONS(2569), 1, aux_sym_null_hint_token3, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, + ACTIONS(2869), 1, + aux_sym_cte_token2, + STATE(79), 1, + aux_sym_array_type_repeat1, + STATE(1666), 1, + sym_NULL, + STATE(1706), 3, + sym_null_constraint, + sym_check_constraint, + aux_sym_create_domain_statement_repeat1, + ACTIONS(2867), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [108806] = 13, + ACTIONS(3), 1, + sym_comment, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(2871), 1, + anon_sym_DOT, + STATE(287), 1, + sym__quoted_identifier, + STATE(1711), 1, + sym_identifier, + STATE(1720), 1, + aux_sym_dotted_name_repeat1, + STATE(2355), 1, + sym_constrained_type, + STATE(1217), 2, + sym_dotted_name, + sym__identifier, + STATE(1983), 3, + sym_type, + sym_array_type, + sym__type, + ACTIONS(119), 5, anon_sym_COMMA, + anon_sym_LPAREN, anon_sym_RPAREN, - aux_sym_grant_statement_token9, + anon_sym_EQ, + anon_sym_LBRACK, + ACTIONS(121), 6, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token3, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + [108858] = 14, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2170), 1, aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, + ACTIONS(2174), 1, anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, + ACTIONS(2178), 1, aux_sym_table_constraint_unique_token1, + ACTIONS(2180), 1, aux_sym_table_constraint_primary_key_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_RBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [42044] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(71), 20, - anon_sym_EQ, + ACTIONS(2857), 1, + aux_sym_cte_token2, + ACTIONS(2859), 1, + aux_sym_alter_table_action_alter_column_token3, + ACTIONS(2861), 1, + aux_sym_null_hint_token3, + ACTIONS(2863), 1, + aux_sym_grant_statement_token8, + ACTIONS(2865), 1, + aux_sym_table_constraint_check_token1, + STATE(1666), 1, + sym_NULL, + ACTIONS(2172), 2, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + ACTIONS(2361), 2, anon_sym_COMMA, anon_sym_RPAREN, + STATE(1700), 10, + sym_auto_increment_constraint, + sym_direction_constraint, + sym_named_constraint, + sym_column_default, + sym_primary_key_constraint, + sym_references_constraint, + sym_unique_constraint, + sym_null_constraint, + sym_check_constraint, + aux_sym_table_column_repeat1, + [108912] = 13, + ACTIONS(3), 1, + sym_comment, + ACTIONS(853), 1, + sym__unquoted_identifier, + ACTIONS(855), 1, anon_sym_BQUOTE, + ACTIONS(857), 1, anon_sym_DQUOTE, + ACTIONS(2871), 1, + anon_sym_DOT, + STATE(287), 1, + sym__quoted_identifier, + STATE(1711), 1, + sym_identifier, + STATE(1720), 1, + aux_sym_dotted_name_repeat1, + STATE(2260), 1, + sym_constrained_type, + STATE(1217), 2, + sym_dotted_name, + sym__identifier, + STATE(1986), 3, + sym_type, + sym_array_type, + sym__type, + ACTIONS(119), 5, + anon_sym_COMMA, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_EQ, anon_sym_LBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(73), 21, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [42093] = 3, + ACTIONS(121), 6, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token3, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + [108964] = 14, ACTIONS(3), 1, sym_comment, - ACTIONS(381), 7, - aux_sym_sequence_token5, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(379), 34, + ACTIONS(2377), 1, + aux_sym_auto_increment_constraint_token1, + ACTIONS(2383), 1, + anon_sym_CONSTRAINT, + ACTIONS(2389), 1, + aux_sym_table_constraint_unique_token1, + ACTIONS(2392), 1, + aux_sym_table_constraint_primary_key_token1, + ACTIONS(2873), 1, + aux_sym_cte_token2, + ACTIONS(2876), 1, aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, + ACTIONS(2879), 1, aux_sym_null_hint_token3, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, + ACTIONS(2882), 1, + aux_sym_grant_statement_token8, + ACTIONS(2885), 1, + aux_sym_table_constraint_check_token1, + STATE(1666), 1, + sym_NULL, + ACTIONS(2363), 2, anon_sym_COMMA, anon_sym_RPAREN, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, + ACTIONS(2380), 2, aux_sym_direction_constraint_token1, aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_RBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [42142] = 15, + STATE(1700), 10, + sym_auto_increment_constraint, + sym_direction_constraint, + sym_named_constraint, + sym_column_default, + sym_primary_key_constraint, + sym_references_constraint, + sym_unique_constraint, + sym_null_constraint, + sym_check_constraint, + aux_sym_table_column_repeat1, + [109018] = 9, + ACTIONS(3), 1, + sym_comment, + ACTIONS(368), 1, + sym__unquoted_identifier, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + STATE(113), 1, + sym__quoted_identifier, + STATE(1185), 1, + sym_identifier, + STATE(1853), 2, + sym_dotted_name, + sym__identifier, + ACTIONS(2888), 3, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_pg_command_token1, + ACTIONS(2890), 13, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [109061] = 9, ACTIONS(3), 1, sym_comment, - ACTIONS(387), 1, + ACTIONS(2894), 1, + aux_sym_cte_token1, + ACTIONS(2896), 1, + aux_sym_sequence_token2, + ACTIONS(2898), 1, + aux_sym_sequence_token3, + ACTIONS(2900), 1, aux_sym_sequence_token5, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, + ACTIONS(2902), 1, + aux_sym_sequence_token8, + ACTIONS(2904), 1, + aux_sym_sequence_token9, + STATE(1718), 1, + aux_sym_sequence_repeat1, + ACTIONS(2892), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [109104] = 9, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2896), 1, aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(383), 14, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_null_hint_token3, + ACTIONS(2898), 1, + aux_sym_sequence_token3, + ACTIONS(2900), 1, + aux_sym_sequence_token5, + ACTIONS(2902), 1, + aux_sym_sequence_token8, + ACTIONS(2904), 1, + aux_sym_sequence_token9, + ACTIONS(2908), 1, + aux_sym_cte_token1, + STATE(1715), 1, + aux_sym_sequence_repeat1, + ACTIONS(2906), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [109147] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2912), 1, + aux_sym_cte_token2, + ACTIONS(2914), 1, + aux_sym_mode_token1, + ACTIONS(2916), 1, + aux_sym_initial_mode_token1, + STATE(1767), 1, + sym_mode, + STATE(1792), 1, + sym_initial_mode, + ACTIONS(2910), 18, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, anon_sym_COMMA, anon_sym_RPAREN, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [109186] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2920), 1, + aux_sym_cte_token2, + ACTIONS(2923), 1, + aux_sym_null_hint_token3, + ACTIONS(2926), 1, aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - anon_sym_RBRACK, - [42215] = 4, + STATE(1666), 1, + sym_NULL, + STATE(1705), 3, + sym_null_constraint, + sym_check_constraint, + aux_sym_create_domain_statement_repeat1, + ACTIONS(2918), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [109225] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2176), 1, + aux_sym_table_constraint_check_token1, + ACTIONS(2569), 1, + aux_sym_null_hint_token3, + ACTIONS(2869), 1, + aux_sym_cte_token2, + STATE(1666), 1, + sym_NULL, + STATE(1705), 3, + sym_null_constraint, + sym_check_constraint, + aux_sym_create_domain_statement_repeat1, + ACTIONS(2929), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [109264] = 9, ACTIONS(3), 1, sym_comment, - ACTIONS(611), 1, - anon_sym_LBRACK, - ACTIONS(265), 19, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(267), 21, + ACTIONS(2896), 1, aux_sym_sequence_token2, + ACTIONS(2898), 1, aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [42266] = 4, + ACTIONS(2900), 1, + aux_sym_sequence_token5, + ACTIONS(2902), 1, + aux_sym_sequence_token8, + ACTIONS(2904), 1, + aux_sym_sequence_token9, + ACTIONS(2933), 1, + aux_sym_cte_token1, + STATE(1723), 1, + aux_sym_sequence_repeat1, + ACTIONS(2931), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [109307] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(1085), 1, - anon_sym_DOT_STAR, - ACTIONS(265), 19, - anon_sym_EQ, + ACTIONS(2912), 1, + aux_sym_cte_token2, + ACTIONS(2914), 1, + aux_sym_mode_token1, + ACTIONS(2916), 1, + aux_sym_initial_mode_token1, + STATE(1749), 1, + sym_mode, + STATE(1784), 1, + sym_initial_mode, + ACTIONS(2935), 18, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, anon_sym_COMMA, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(267), 21, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [109346] = 8, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2896), 1, aux_sym_sequence_token2, + ACTIONS(2898), 1, aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [42317] = 3, + ACTIONS(2900), 1, + aux_sym_sequence_token5, + ACTIONS(2902), 1, + aux_sym_sequence_token8, + ACTIONS(2904), 1, + aux_sym_sequence_token9, + STATE(1721), 1, + aux_sym_sequence_repeat1, + ACTIONS(2937), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [109386] = 8, ACTIONS(3), 1, sym_comment, - ACTIONS(339), 7, + ACTIONS(2896), 1, + aux_sym_sequence_token2, + ACTIONS(2898), 1, + aux_sym_sequence_token3, + ACTIONS(2900), 1, aux_sym_sequence_token5, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(337), 34, + ACTIONS(2902), 1, + aux_sym_sequence_token8, + ACTIONS(2904), 1, + aux_sym_sequence_token9, + STATE(1721), 1, + aux_sym_sequence_repeat1, + ACTIONS(2939), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [109426] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(121), 1, + aux_sym_with_clause_token1, + ACTIONS(2871), 1, + anon_sym_DOT, + STATE(1720), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(119), 19, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_LPAREN, + anon_sym_RPAREN, aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, aux_sym_null_hint_token3, - aux_sym_create_function_parameter_token1, anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token9, + aux_sym_grant_statement_token8, aux_sym_auto_increment_constraint_token1, aux_sym_direction_constraint_token1, aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, anon_sym_CONSTRAINT, aux_sym_table_constraint_check_token1, aux_sym_table_constraint_unique_token1, aux_sym_table_constraint_primary_key_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_RBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [42366] = 4, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, + [109460] = 8, ACTIONS(3), 1, sym_comment, - ACTIONS(613), 1, - anon_sym_COLON_COLON, - ACTIONS(265), 19, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(267), 21, + ACTIONS(2896), 1, aux_sym_sequence_token2, + ACTIONS(2898), 1, aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [42417] = 3, + ACTIONS(2900), 1, + aux_sym_sequence_token5, + ACTIONS(2902), 1, + aux_sym_sequence_token8, + ACTIONS(2904), 1, + aux_sym_sequence_token9, + STATE(1714), 1, + aux_sym_sequence_repeat1, + ACTIONS(2941), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [109500] = 8, ACTIONS(3), 1, sym_comment, - ACTIONS(337), 19, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(339), 22, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, + ACTIONS(2632), 1, + aux_sym_grant_statement_token12, + ACTIONS(2634), 1, aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [42466] = 15, + ACTIONS(2636), 1, + aux_sym_limit_clause_token1, + STATE(1750), 1, + sym_group_by_clause, + STATE(1790), 1, + sym_order_by_clause, + STATE(1827), 1, + sym_limit_clause, + ACTIONS(2680), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [109540] = 8, ACTIONS(3), 1, sym_comment, - ACTIONS(947), 1, + ACTIONS(2896), 1, aux_sym_sequence_token2, - ACTIONS(949), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(953), 1, - aux_sym_is_expression_token1, - ACTIONS(955), 1, - aux_sym_boolean_expression_token1, - ACTIONS(957), 1, - aux_sym_boolean_expression_token2, - ACTIONS(961), 1, - anon_sym_DASH, - ACTIONS(965), 1, - anon_sym_CARET, - ACTIONS(969), 1, - anon_sym_SLASH, - ACTIONS(959), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(383), 4, - anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - ACTIONS(963), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(967), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(951), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(387), 11, + ACTIONS(2898), 1, aux_sym_sequence_token3, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - sym__unquoted_identifier, - [42539] = 3, + ACTIONS(2900), 1, + aux_sym_sequence_token5, + ACTIONS(2902), 1, + aux_sym_sequence_token8, + ACTIONS(2904), 1, + aux_sym_sequence_token9, + STATE(1721), 1, + aux_sym_sequence_repeat1, + ACTIONS(2943), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [109580] = 8, ACTIONS(3), 1, sym_comment, - ACTIONS(379), 19, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(381), 22, + ACTIONS(2896), 1, aux_sym_sequence_token2, + ACTIONS(2898), 1, aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, + ACTIONS(2900), 1, + aux_sym_sequence_token5, + ACTIONS(2902), 1, + aux_sym_sequence_token8, + ACTIONS(2904), 1, + aux_sym_sequence_token9, + STATE(1721), 1, + aux_sym_sequence_repeat1, + ACTIONS(2945), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [109620] = 8, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2632), 1, + aux_sym_grant_statement_token12, + ACTIONS(2634), 1, aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [42588] = 3, + ACTIONS(2636), 1, + aux_sym_limit_clause_token1, + STATE(1763), 1, + sym_group_by_clause, + STATE(1796), 1, + sym_order_by_clause, + STATE(1820), 1, + sym_limit_clause, + ACTIONS(2947), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [109660] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(371), 19, - anon_sym_EQ, + ACTIONS(94), 1, + aux_sym_with_clause_token1, + ACTIONS(2949), 1, + anon_sym_DOT, + STATE(1717), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(92), 19, anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_LPAREN, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(373), 22, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + anon_sym_EQ, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, + [109694] = 8, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2896), 1, aux_sym_sequence_token2, + ACTIONS(2898), 1, aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [42637] = 3, + ACTIONS(2900), 1, + aux_sym_sequence_token5, + ACTIONS(2902), 1, + aux_sym_sequence_token8, + ACTIONS(2904), 1, + aux_sym_sequence_token9, + STATE(1721), 1, + aux_sym_sequence_repeat1, + ACTIONS(2952), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [109734] = 8, ACTIONS(3), 1, sym_comment, - ACTIONS(317), 19, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(319), 22, + ACTIONS(2896), 1, aux_sym_sequence_token2, + ACTIONS(2898), 1, aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [42686] = 3, + ACTIONS(2900), 1, + aux_sym_sequence_token5, + ACTIONS(2902), 1, + aux_sym_sequence_token8, + ACTIONS(2904), 1, + aux_sym_sequence_token9, + STATE(1710), 1, + aux_sym_sequence_repeat1, + ACTIONS(2954), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [109774] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(399), 7, - aux_sym_sequence_token5, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(397), 34, + ACTIONS(115), 1, + aux_sym_with_clause_token1, + ACTIONS(2871), 1, + anon_sym_DOT, + STATE(1717), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(113), 19, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_LPAREN, + anon_sym_RPAREN, aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, aux_sym_null_hint_token3, - aux_sym_create_function_parameter_token1, anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token9, + aux_sym_grant_statement_token8, aux_sym_auto_increment_constraint_token1, aux_sym_direction_constraint_token1, aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, anon_sym_CONSTRAINT, aux_sym_table_constraint_check_token1, aux_sym_table_constraint_unique_token1, aux_sym_table_constraint_primary_key_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_RBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [42735] = 14, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + anon_sym_LBRACK, + [109808] = 8, ACTIONS(3), 1, sym_comment, - ACTIONS(315), 1, - anon_sym_CARET, - ACTIONS(347), 1, - anon_sym_DASH, - ACTIONS(351), 1, - anon_sym_SLASH, - ACTIONS(365), 1, - aux_sym_boolean_expression_token1, - ACTIONS(385), 1, + ACTIONS(2958), 1, aux_sym_sequence_token2, - ACTIONS(389), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(391), 1, - aux_sym_is_expression_token1, - ACTIONS(393), 1, - aux_sym_boolean_expression_token2, - ACTIONS(345), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(363), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(349), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(361), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(1041), 15, + ACTIONS(2961), 1, + aux_sym_sequence_token3, + ACTIONS(2964), 1, + aux_sym_sequence_token5, + ACTIONS(2967), 1, + aux_sym_sequence_token8, + ACTIONS(2970), 1, + aux_sym_sequence_token9, + STATE(1721), 1, + aux_sym_sequence_repeat1, + ACTIONS(2956), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [109848] = 8, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2632), 1, + aux_sym_grant_statement_token12, + ACTIONS(2634), 1, + aux_sym_order_by_clause_token1, + ACTIONS(2636), 1, + aux_sym_limit_clause_token1, + STATE(1753), 1, + sym_group_by_clause, + STATE(1791), 1, + sym_order_by_clause, + STATE(1831), 1, + sym_limit_clause, + ACTIONS(2714), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [109888] = 8, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2896), 1, + aux_sym_sequence_token2, + ACTIONS(2898), 1, + aux_sym_sequence_token3, + ACTIONS(2900), 1, + aux_sym_sequence_token5, + ACTIONS(2902), 1, + aux_sym_sequence_token8, + ACTIONS(2904), 1, + aux_sym_sequence_token9, + STATE(1721), 1, + aux_sym_sequence_repeat1, + ACTIONS(2973), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [109928] = 8, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2896), 1, + aux_sym_sequence_token2, + ACTIONS(2898), 1, + aux_sym_sequence_token3, + ACTIONS(2900), 1, + aux_sym_sequence_token5, + ACTIONS(2902), 1, + aux_sym_sequence_token8, + ACTIONS(2904), 1, + aux_sym_sequence_token9, + STATE(1709), 1, + aux_sym_sequence_repeat1, + ACTIONS(2975), 16, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [109968] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2977), 21, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - [42806] = 4, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + [109995] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(965), 1, - anon_sym_CARET, - ACTIONS(311), 18, - anon_sym_EQ, + ACTIONS(2979), 21, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, anon_sym_COMMA, + aux_sym_cte_token2, anon_sym_RPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + [110022] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2981), 1, + anon_sym_DOT, + STATE(1727), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(92), 5, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_pg_command_token1, anon_sym_BQUOTE, anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(313), 22, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + ACTIONS(94), 14, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [42857] = 3, + [110055] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(311), 19, - anon_sym_EQ, + ACTIONS(2984), 21, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, anon_sym_COMMA, + aux_sym_cte_token2, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(313), 22, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + [110082] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2986), 21, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, aux_sym_sequence_token2, aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [42906] = 6, + aux_sym_sequence_token5, + aux_sym_sequence_token8, + aux_sym_sequence_token9, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [110109] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(965), 1, - anon_sym_CARET, - ACTIONS(969), 1, - anon_sym_SLASH, - ACTIONS(967), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(311), 13, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(313), 21, + ACTIONS(2988), 21, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, aux_sym_sequence_token2, aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [42961] = 11, + aux_sym_sequence_token5, + aux_sym_sequence_token8, + aux_sym_sequence_token9, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [110136] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(955), 1, - aux_sym_boolean_expression_token1, - ACTIONS(961), 1, - anon_sym_DASH, - ACTIONS(965), 1, - anon_sym_CARET, - ACTIONS(969), 1, - anon_sym_SLASH, - ACTIONS(959), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(357), 4, + ACTIONS(2990), 21, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, anon_sym_COMMA, + aux_sym_cte_token2, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - ACTIONS(963), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(967), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(951), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(359), 15, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + [110163] = 8, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2994), 1, + aux_sym_with_clause_token1, + ACTIONS(2996), 1, + aux_sym_create_index_include_clause_token1, + ACTIONS(2998), 1, aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - [43026] = 10, + STATE(1779), 1, + sym_create_index_include_clause, + STATE(1802), 1, + sym_create_index_with_clause, + STATE(1846), 1, + sym_where_clause, + ACTIONS(2992), 15, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [110202] = 8, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2994), 1, + aux_sym_with_clause_token1, + ACTIONS(2996), 1, + aux_sym_create_index_include_clause_token1, + ACTIONS(2998), 1, + aux_sym_where_clause_token1, + STATE(1776), 1, + sym_create_index_include_clause, + STATE(1798), 1, + sym_create_index_with_clause, + STATE(1899), 1, + sym_where_clause, + ACTIONS(3000), 15, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [110241] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(961), 1, - anon_sym_DASH, - ACTIONS(965), 1, - anon_sym_CARET, - ACTIONS(969), 1, - anon_sym_SLASH, - ACTIONS(959), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(357), 4, - anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - ACTIONS(963), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(967), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(951), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(359), 16, + ACTIONS(3002), 21, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, aux_sym_sequence_token2, aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - [43089] = 3, + aux_sym_sequence_token5, + aux_sym_sequence_token8, + aux_sym_sequence_token9, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [110268] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(353), 19, - anon_sym_EQ, + ACTIONS(3004), 21, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, anon_sym_COMMA, + aux_sym_cte_token2, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(355), 22, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [43138] = 8, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + [110295] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(961), 1, - anon_sym_DASH, - ACTIONS(965), 1, - anon_sym_CARET, - ACTIONS(969), 1, - anon_sym_SLASH, - ACTIONS(959), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(967), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(311), 10, - anon_sym_EQ, + ACTIONS(3006), 21, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, anon_sym_COMMA, + aux_sym_cte_token2, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(313), 20, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [43197] = 3, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + [110322] = 8, ACTIONS(3), 1, sym_comment, - ACTIONS(341), 19, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(343), 22, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + ACTIONS(2994), 1, + aux_sym_with_clause_token1, + ACTIONS(2996), 1, + aux_sym_create_index_include_clause_token1, + ACTIONS(2998), 1, aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [43246] = 3, + STATE(1777), 1, + sym_create_index_include_clause, + STATE(1804), 1, + sym_create_index_with_clause, + STATE(1903), 1, + sym_where_clause, + ACTIONS(3008), 15, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [110361] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(333), 19, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, + ACTIONS(3010), 1, + anon_sym_DOT, + STATE(1727), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(113), 5, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_pg_command_token1, anon_sym_BQUOTE, anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(335), 22, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + ACTIONS(115), 14, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [43295] = 3, + [110394] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(375), 19, - anon_sym_EQ, + ACTIONS(1021), 1, anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(377), 22, + STATE(1746), 1, + aux_sym_group_by_clause_body_repeat1, + ACTIONS(3012), 19, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_limit_clause_token1, + [110425] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(314), 21, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, aux_sym_sequence_token2, aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [43344] = 3, + aux_sym_sequence_token5, + aux_sym_sequence_token8, + aux_sym_sequence_token9, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [110452] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(397), 19, - anon_sym_EQ, + ACTIONS(3014), 21, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, anon_sym_COMMA, + aux_sym_cte_token2, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(399), 22, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [43393] = 3, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + [110479] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(411), 19, - anon_sym_EQ, + ACTIONS(3016), 21, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, anon_sym_COMMA, + aux_sym_cte_token2, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(413), 22, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + [110506] = 8, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2994), 1, + aux_sym_with_clause_token1, + ACTIONS(2996), 1, + aux_sym_create_index_include_clause_token1, + ACTIONS(2998), 1, aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [43442] = 3, + STATE(1778), 1, + sym_create_index_include_clause, + STATE(1782), 1, + sym_create_index_with_clause, + STATE(1847), 1, + sym_where_clause, + ACTIONS(3018), 15, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [110545] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3020), 21, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + [110572] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(407), 19, - anon_sym_EQ, + ACTIONS(3022), 21, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, anon_sym_COMMA, + aux_sym_cte_token2, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(409), 22, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [43491] = 3, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_mode_token1, + aux_sym_initial_mode_token1, + [110599] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(403), 19, - anon_sym_EQ, + ACTIONS(3024), 1, anon_sym_COMMA, - anon_sym_RPAREN, + STATE(1746), 1, + aux_sym_group_by_clause_body_repeat1, + ACTIONS(1201), 19, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_limit_clause_token1, + [110630] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3010), 1, + anon_sym_DOT, + STATE(1738), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(119), 5, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_pg_command_token1, anon_sym_BQUOTE, anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(405), 22, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + ACTIONS(121), 14, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [43540] = 3, + [110663] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(265), 19, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(267), 22, + ACTIONS(2956), 21, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, aux_sym_sequence_token2, aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [43589] = 3, + aux_sym_sequence_token5, + aux_sym_sequence_token8, + aux_sym_sequence_token9, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [110690] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(367), 19, - anon_sym_EQ, + ACTIONS(2916), 1, + aux_sym_initial_mode_token1, + STATE(1793), 1, + sym_initial_mode, + ACTIONS(3027), 18, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, anon_sym_COMMA, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(369), 22, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [110720] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2634), 1, aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [43638] = 3, + ACTIONS(2636), 1, + aux_sym_limit_clause_token1, + STATE(1796), 1, + sym_order_by_clause, + STATE(1820), 1, + sym_limit_clause, + ACTIONS(2947), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [110754] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(297), 19, - anon_sym_EQ, + ACTIONS(3031), 1, anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(299), 22, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + STATE(1759), 1, + aux_sym_set_clause_body_repeat1, + ACTIONS(3029), 18, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, aux_sym_where_clause_token1, aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [43687] = 3, + [110784] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(181), 20, - anon_sym_EQ, + ACTIONS(3033), 1, anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_LBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(183), 21, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, + STATE(1752), 1, + aux_sym_group_by_clause_body_repeat1, + ACTIONS(1201), 18, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [43736] = 3, + aux_sym_limit_clause_token1, + [110814] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(69), 9, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DOT, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(67), 32, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, + ACTIONS(2634), 1, aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_DASH_GT_GT, - anon_sym_LBRACK, - anon_sym_COLON_COLON, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [43785] = 3, + ACTIONS(2636), 1, + aux_sym_limit_clause_token1, + STATE(1790), 1, + sym_order_by_clause, + STATE(1827), 1, + sym_limit_clause, + ACTIONS(2680), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [110848] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(201), 20, - anon_sym_EQ, - anon_sym_COMMA, + ACTIONS(3038), 2, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + ACTIONS(3036), 18, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_COLON_COLON, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(203), 21, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_limit_clause_token1, + [110876] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2998), 1, aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + ACTIONS(3042), 1, + aux_sym_from_clause_token1, + STATE(1788), 1, + sym_from_clause, + STATE(1832), 1, + sym_where_clause, + ACTIONS(3040), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [110910] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(92), 6, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_pg_command_token1, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DOT, + ACTIONS(94), 14, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [43834] = 3, + [110938] = 16, ACTIONS(3), 1, sym_comment, - ACTIONS(187), 7, - aux_sym_sequence_token5, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(185), 34, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_null_hint_token3, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, + ACTIONS(3044), 1, + aux_sym__table_constraint_token1, + ACTIONS(3046), 1, aux_sym_table_constraint_check_token1, + ACTIONS(3048), 1, + aux_sym_table_constraint_exclude_token1, + ACTIONS(3050), 1, + aux_sym_table_constraint_foreign_key_token1, + ACTIONS(3052), 1, aux_sym_table_constraint_unique_token1, + ACTIONS(3054), 1, aux_sym_table_constraint_primary_key_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_RBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [43883] = 3, + ACTIONS(3056), 1, + sym__unquoted_identifier, + ACTIONS(3058), 1, + anon_sym_BQUOTE, + ACTIONS(3060), 1, + anon_sym_DQUOTE, + STATE(2143), 1, + sym_identifier, + STATE(2237), 1, + sym__quoted_identifier, + STATE(2449), 1, + sym__table_constraint, + STATE(2451), 1, + sym_table_column, + STATE(1940), 2, + sym_dotted_name, + sym__identifier, + STATE(1704), 5, + sym_table_constraint_check, + sym_table_constraint_exclude, + sym_table_constraint_foreign_key, + sym_table_constraint_unique, + sym_table_constraint_primary_key, + [110992] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(331), 7, - aux_sym_sequence_token5, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(329), 34, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_null_hint_token3, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, + ACTIONS(3062), 20, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, anon_sym_COMMA, anon_sym_RPAREN, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_RBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [43932] = 3, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + [111018] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(327), 7, - aux_sym_sequence_token5, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(325), 34, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_null_hint_token3, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, + ACTIONS(3064), 1, anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_RBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [43981] = 3, + STATE(1759), 1, + aux_sym_set_clause_body_repeat1, + ACTIONS(3062), 18, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + [111048] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(299), 7, - aux_sym_sequence_token5, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(297), 34, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_null_hint_token3, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, + ACTIONS(1300), 1, anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_RBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [44030] = 3, + STATE(1752), 1, + aux_sym_group_by_clause_body_repeat1, + ACTIONS(3067), 18, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + [111078] = 16, ACTIONS(3), 1, sym_comment, - ACTIONS(369), 7, - aux_sym_sequence_token5, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(367), 34, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_null_hint_token3, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, + ACTIONS(3044), 1, + aux_sym__table_constraint_token1, + ACTIONS(3046), 1, aux_sym_table_constraint_check_token1, + ACTIONS(3048), 1, + aux_sym_table_constraint_exclude_token1, + ACTIONS(3050), 1, + aux_sym_table_constraint_foreign_key_token1, + ACTIONS(3052), 1, aux_sym_table_constraint_unique_token1, + ACTIONS(3054), 1, aux_sym_table_constraint_primary_key_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_RBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [44079] = 3, + ACTIONS(3056), 1, + sym__unquoted_identifier, + ACTIONS(3058), 1, + anon_sym_BQUOTE, + ACTIONS(3060), 1, + anon_sym_DQUOTE, + STATE(2143), 1, + sym_identifier, + STATE(2237), 1, + sym__quoted_identifier, + STATE(2253), 1, + sym__table_constraint, + STATE(2344), 1, + sym_table_column, + STATE(1940), 2, + sym_dotted_name, + sym__identifier, + STATE(1704), 5, + sym_table_constraint_check, + sym_table_constraint_exclude, + sym_table_constraint_foreign_key, + sym_table_constraint_unique, + sym_table_constraint_primary_key, + [111132] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(267), 7, - aux_sym_sequence_token5, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(265), 34, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_null_hint_token3, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, + ACTIONS(3031), 1, anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_RBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [44128] = 3, + STATE(1751), 1, + aux_sym_set_clause_body_repeat1, + ACTIONS(3069), 18, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + [111162] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(77), 9, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, + ACTIONS(2634), 1, + aux_sym_order_by_clause_token1, + ACTIONS(2636), 1, + aux_sym_limit_clause_token1, + STATE(1795), 1, + sym_order_by_clause, + STATE(1821), 1, + sym_limit_clause, + ACTIONS(3071), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [111196] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(155), 6, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_pg_command_token1, + anon_sym_BQUOTE, + anon_sym_DQUOTE, anon_sym_DOT, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(75), 32, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, + ACTIONS(157), 14, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + sym__unquoted_identifier, + [111224] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2634), 1, aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_DASH_GT_GT, - anon_sym_LBRACK, - anon_sym_COLON_COLON, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [44177] = 3, + ACTIONS(2636), 1, + aux_sym_limit_clause_token1, + STATE(1791), 1, + sym_order_by_clause, + STATE(1831), 1, + sym_limit_clause, + ACTIONS(2714), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [111258] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(131), 6, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_pg_command_token1, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DOT, + ACTIONS(133), 14, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + sym__unquoted_identifier, + [111286] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2916), 1, + aux_sym_initial_mode_token1, + STATE(1800), 1, + sym_initial_mode, + ACTIONS(3073), 18, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [111316] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3075), 1, + anon_sym_COMMA, + STATE(1768), 1, + aux_sym_select_clause_body_repeat1, + ACTIONS(2808), 17, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + [111345] = 17, ACTIONS(3), 1, sym_comment, - ACTIONS(325), 19, - anon_sym_EQ, - anon_sym_COMMA, + ACTIONS(2630), 1, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(327), 22, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, + ACTIONS(2642), 1, + aux_sym_join_type_token1, + ACTIONS(3078), 1, + aux_sym_grant_statement_token12, + ACTIONS(3080), 1, aux_sym_order_by_clause_token1, + ACTIONS(3082), 1, + aux_sym_limit_clause_token1, + ACTIONS(3084), 1, aux_sym_where_clause_token1, + ACTIONS(3086), 1, aux_sym_from_clause_token1, - aux_sym_join_type_token1, + ACTIONS(3088), 1, + aux_sym_join_clause_token1, + STATE(1825), 1, + sym_from_clause, + STATE(1835), 1, + sym_limit_clause, + STATE(2034), 1, + sym_where_clause, + STATE(2138), 1, + sym_group_by_clause, + STATE(2345), 1, + sym_order_by_clause, + STATE(3400), 1, + sym_join_type, + STATE(1824), 2, + sym_join_clause, + aux_sym__select_statement_repeat1, + ACTIONS(2644), 3, aux_sym_join_type_token2, aux_sym_join_type_token3, aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [44226] = 3, + [111400] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(329), 19, - anon_sym_EQ, - anon_sym_COMMA, + ACTIONS(3090), 19, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(331), 22, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, aux_sym_where_clause_token1, aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [44275] = 3, + [111425] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(205), 20, - anon_sym_EQ, + ACTIONS(3092), 19, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, anon_sym_COMMA, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_COLON_COLON, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(207), 21, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_initial_mode_token1, + [111450] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3094), 1, + anon_sym_COMMA, + STATE(1768), 1, + aux_sym_select_clause_body_repeat1, + ACTIONS(2850), 17, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [44324] = 3, + [111479] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(405), 7, - aux_sym_sequence_token5, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(403), 34, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_null_hint_token3, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, + ACTIONS(3096), 19, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, anon_sym_COMMA, anon_sym_RPAREN, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_RBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [44373] = 3, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_initial_mode_token1, + [111504] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(409), 7, - aux_sym_sequence_token5, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(407), 34, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_null_hint_token3, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, + ACTIONS(3098), 19, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, anon_sym_RPAREN, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_RBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [44422] = 3, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + [111529] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(413), 7, - aux_sym_sequence_token5, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(411), 34, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_null_hint_token3, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, + ACTIONS(3094), 1, anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_RBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [44471] = 3, + STATE(1772), 1, + aux_sym_select_clause_body_repeat1, + ACTIONS(2846), 17, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + [111558] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(185), 19, - anon_sym_EQ, + ACTIONS(2994), 1, + aux_sym_with_clause_token1, + ACTIONS(2998), 1, + aux_sym_where_clause_token1, + STATE(1803), 1, + sym_create_index_with_clause, + STATE(1842), 1, + sym_where_clause, + ACTIONS(3100), 15, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [111591] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2994), 1, + aux_sym_with_clause_token1, + ACTIONS(2998), 1, + aux_sym_where_clause_token1, + STATE(1802), 1, + sym_create_index_with_clause, + STATE(1846), 1, + sym_where_clause, + ACTIONS(2992), 15, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [111624] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2994), 1, + aux_sym_with_clause_token1, + ACTIONS(2998), 1, + aux_sym_where_clause_token1, + STATE(1798), 1, + sym_create_index_with_clause, + STATE(1899), 1, + sym_where_clause, + ACTIONS(3000), 15, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [111657] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2994), 1, + aux_sym_with_clause_token1, + ACTIONS(2998), 1, + aux_sym_where_clause_token1, + STATE(1789), 1, + sym_create_index_with_clause, + STATE(1897), 1, + sym_where_clause, + ACTIONS(3102), 15, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [111690] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3106), 2, + aux_sym_begin_statement_token2, + aux_sym_begin_statement_token3, + ACTIONS(3104), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [111716] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3110), 2, anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(187), 22, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token2, + ACTIONS(3108), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [111742] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2998), 1, aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [44520] = 3, + STATE(1899), 1, + sym_where_clause, + ACTIONS(3000), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [111770] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(337), 19, - anon_sym_EQ, + ACTIONS(3114), 2, + aux_sym_begin_statement_token2, + aux_sym_begin_statement_token3, + ACTIONS(3112), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [111796] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3027), 18, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, anon_sym_COMMA, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(339), 21, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [111820] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3116), 18, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_create_index_include_clause_token1, aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [44568] = 3, + [111844] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(407), 19, - anon_sym_EQ, - anon_sym_COMMA, + ACTIONS(3120), 2, + aux_sym_begin_statement_token2, + aux_sym_begin_statement_token3, + ACTIONS(3118), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [111870] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3122), 18, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(409), 21, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_limit_clause_token1, + [111894] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2998), 1, aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [44616] = 15, + STATE(1815), 1, + sym_where_clause, + ACTIONS(3124), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [111922] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(425), 1, - aux_sym_sequence_token5, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(423), 13, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_null_hint_token3, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - [44688] = 14, + ACTIONS(2998), 1, + aux_sym_where_clause_token1, + STATE(1885), 1, + sym_where_clause, + ACTIONS(3126), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [111950] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(315), 1, - anon_sym_CARET, - ACTIONS(347), 1, - anon_sym_DASH, - ACTIONS(351), 1, - anon_sym_SLASH, - ACTIONS(365), 1, - aux_sym_boolean_expression_token1, - ACTIONS(385), 1, - aux_sym_sequence_token2, - ACTIONS(389), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(391), 1, - aux_sym_is_expression_token1, - ACTIONS(393), 1, - aux_sym_boolean_expression_token2, - ACTIONS(345), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(363), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(349), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(361), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(1087), 14, + ACTIONS(2636), 1, + aux_sym_limit_clause_token1, + STATE(1820), 1, + sym_limit_clause, + ACTIONS(2947), 16, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, @@ -51428,53 +120492,21 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - [44758] = 14, + [111978] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(315), 1, - anon_sym_CARET, - ACTIONS(347), 1, - anon_sym_DASH, - ACTIONS(351), 1, - anon_sym_SLASH, - ACTIONS(365), 1, - aux_sym_boolean_expression_token1, - ACTIONS(385), 1, - aux_sym_sequence_token2, - ACTIONS(389), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(391), 1, - aux_sym_is_expression_token1, - ACTIONS(393), 1, - aux_sym_boolean_expression_token2, - ACTIONS(345), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(363), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(349), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(361), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(1089), 14, + ACTIONS(2636), 1, + aux_sym_limit_clause_token1, + STATE(1827), 1, + sym_limit_clause, + ACTIONS(2680), 16, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, @@ -51484,196 +120516,113 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - [44828] = 3, + [112006] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(333), 19, - anon_sym_EQ, + ACTIONS(3073), 18, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, anon_sym_COMMA, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(335), 21, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [44876] = 3, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [112030] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(341), 19, - anon_sym_EQ, + ACTIONS(3128), 18, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, anon_sym_COMMA, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(343), 21, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [44924] = 8, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [112054] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1007), 1, - anon_sym_DASH, - ACTIONS(1011), 1, - anon_sym_CARET, - ACTIONS(1015), 1, - anon_sym_SLASH, - ACTIONS(1005), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1013), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(311), 10, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(313), 19, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [44982] = 17, + ACTIONS(2636), 1, + aux_sym_limit_clause_token1, + STATE(1831), 1, + sym_limit_clause, + ACTIONS(2714), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [112082] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(195), 1, - anon_sym_LBRACK, - ACTIONS(1093), 1, - aux_sym_alter_table_action_alter_column_token3, - ACTIONS(1095), 1, - aux_sym_sequence_token2, - ACTIONS(1097), 1, - aux_sym_sequence_token5, - ACTIONS(1099), 1, - aux_sym_null_hint_token3, - ACTIONS(1101), 1, - aux_sym_grant_statement_token9, - ACTIONS(1103), 1, - aux_sym_auto_increment_constraint_token1, - ACTIONS(1107), 1, - aux_sym_time_zone_constraint_token1, - ACTIONS(1109), 1, - anon_sym_CONSTRAINT, - ACTIONS(1111), 1, - aux_sym_table_constraint_check_token1, - ACTIONS(1113), 1, - aux_sym_table_constraint_unique_token1, - ACTIONS(1115), 1, - aux_sym_table_constraint_primary_key_token1, - STATE(820), 1, - sym_NULL, - ACTIONS(1105), 2, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - STATE(661), 11, - sym_auto_increment_constraint, - sym_direction_constraint, - sym_time_zone_constraint, - sym_named_constraint, - sym_column_default, - sym_primary_key_constraint, - sym_references_constraint, - sym_unique_constraint, - sym_null_constraint, - sym_check_constraint, - aux_sym_table_column_repeat1, - ACTIONS(1091), 14, + ACTIONS(2636), 1, + aux_sym_limit_clause_token1, + STATE(1818), 1, + sym_limit_clause, + ACTIONS(3130), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [112110] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2636), 1, + aux_sym_limit_clause_token1, + STATE(1821), 1, + sym_limit_clause, + ACTIONS(3071), 16, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, @@ -51683,53 +120632,17 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - [45058] = 14, + [112138] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(315), 1, - anon_sym_CARET, - ACTIONS(347), 1, - anon_sym_DASH, - ACTIONS(351), 1, - anon_sym_SLASH, - ACTIONS(365), 1, - aux_sym_boolean_expression_token1, - ACTIONS(385), 1, - aux_sym_sequence_token2, - ACTIONS(389), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(391), 1, - aux_sym_is_expression_token1, - ACTIONS(393), 1, - aux_sym_boolean_expression_token2, - ACTIONS(345), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(363), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(349), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(361), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(1117), 14, + ACTIONS(3132), 18, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, @@ -51739,679 +120652,370 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - [45128] = 3, + aux_sym_create_index_include_clause_token1, + aux_sym_where_clause_token1, + [112162] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(297), 19, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(299), 21, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + ACTIONS(2998), 1, aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [45176] = 5, + STATE(1842), 1, + sym_where_clause, + ACTIONS(3100), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [112190] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1119), 1, - anon_sym_DOT, - STATE(634), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(111), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(109), 30, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + ACTIONS(3134), 18, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_create_index_include_clause_token1, aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_LBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [45228] = 3, + [112214] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(403), 19, - anon_sym_EQ, + ACTIONS(3136), 18, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, anon_sym_COMMA, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(405), 21, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [45276] = 5, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [112238] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1025), 1, - anon_sym_DOT, - STATE(634), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(81), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(79), 30, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_LPAREN, + ACTIONS(3138), 18, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_COMMA, anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [112262] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2998), 1, aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_LBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [45328] = 3, + STATE(1897), 1, + sym_where_clause, + ACTIONS(3102), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [112290] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(353), 19, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(355), 21, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + ACTIONS(2998), 1, aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [45376] = 10, + STATE(1837), 1, + sym_where_clause, + ACTIONS(3140), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [112318] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1007), 1, - anon_sym_DASH, - ACTIONS(1011), 1, - anon_sym_CARET, - ACTIONS(1015), 1, - anon_sym_SLASH, - ACTIONS(1005), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(357), 4, - anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - ACTIONS(1009), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1013), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(997), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(359), 15, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + ACTIONS(2998), 1, aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - [45438] = 11, + STATE(1846), 1, + sym_where_clause, + ACTIONS(2992), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [112346] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1001), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1007), 1, - anon_sym_DASH, - ACTIONS(1011), 1, - anon_sym_CARET, - ACTIONS(1015), 1, - anon_sym_SLASH, - ACTIONS(1005), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(357), 4, - anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - ACTIONS(1009), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1013), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(997), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(359), 14, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + ACTIONS(2998), 1, aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - [45502] = 15, + STATE(1806), 1, + sym_where_clause, + ACTIONS(3142), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [112374] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(993), 1, - aux_sym_sequence_token2, - ACTIONS(995), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(999), 1, - aux_sym_is_expression_token1, - ACTIONS(1001), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1003), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1007), 1, - anon_sym_DASH, - ACTIONS(1011), 1, - anon_sym_CARET, - ACTIONS(1015), 1, - anon_sym_SLASH, - ACTIONS(1005), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(383), 4, - anon_sym_COMMA, + ACTIONS(3144), 17, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - ACTIONS(1009), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1013), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(997), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(387), 10, - aux_sym_sequence_token3, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [112397] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3146), 17, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - sym__unquoted_identifier, - [45574] = 3, + [112420] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(379), 19, - anon_sym_EQ, + ACTIONS(3148), 1, + anon_sym_DOT, + STATE(1808), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(92), 15, anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_LPAREN, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(381), 21, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [45622] = 6, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token2, + aux_sym_null_hint_token3, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + [112447] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1011), 1, - anon_sym_CARET, - ACTIONS(1015), 1, - anon_sym_SLASH, - ACTIONS(1013), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(311), 13, - anon_sym_EQ, + ACTIONS(3151), 1, + anon_sym_DOT, + STATE(1808), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(113), 15, anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_LPAREN, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(313), 20, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [45676] = 3, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token2, + aux_sym_null_hint_token3, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + [112474] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(311), 19, - anon_sym_EQ, - anon_sym_COMMA, + ACTIONS(3153), 17, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(313), 21, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [45724] = 4, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [112497] = 15, ACTIONS(3), 1, sym_comment, - ACTIONS(1011), 1, - anon_sym_CARET, - ACTIONS(311), 18, - anon_sym_EQ, - anon_sym_COMMA, + ACTIONS(2642), 1, + aux_sym_join_type_token1, + ACTIONS(2680), 1, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(313), 21, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, + ACTIONS(3078), 1, + aux_sym_grant_statement_token12, + ACTIONS(3080), 1, aux_sym_order_by_clause_token1, + ACTIONS(3082), 1, + aux_sym_limit_clause_token1, + ACTIONS(3084), 1, aux_sym_where_clause_token1, - aux_sym_join_type_token1, + ACTIONS(3088), 1, + aux_sym_join_clause_token1, + STATE(1827), 1, + sym_limit_clause, + STATE(2069), 1, + sym_where_clause, + STATE(2165), 1, + sym_group_by_clause, + STATE(2319), 1, + sym_order_by_clause, + STATE(3400), 1, + sym_join_type, + STATE(1922), 2, + sym_join_clause, + aux_sym__select_statement_repeat1, + ACTIONS(2644), 3, aux_sym_join_type_token2, aux_sym_join_type_token3, aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [45774] = 9, + [112546] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1122), 1, - anon_sym_LPAREN, - ACTIONS(1124), 1, - anon_sym_DOT, - ACTIONS(1126), 1, - anon_sym_DASH_GT_GT, - ACTIONS(1128), 1, - anon_sym_LBRACK, - ACTIONS(1130), 1, - anon_sym_COLON_COLON, - STATE(666), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(87), 14, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(85), 20, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [45834] = 14, + ACTIONS(3155), 17, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_where_clause_token1, + [112569] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(315), 1, - anon_sym_CARET, - ACTIONS(347), 1, - anon_sym_DASH, - ACTIONS(351), 1, - anon_sym_SLASH, - ACTIONS(365), 1, - aux_sym_boolean_expression_token1, - ACTIONS(385), 1, - aux_sym_sequence_token2, - ACTIONS(389), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(391), 1, - aux_sym_is_expression_token1, - ACTIONS(393), 1, - aux_sym_boolean_expression_token2, - ACTIONS(345), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(363), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(349), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(361), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(1035), 14, + ACTIONS(3157), 17, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, @@ -52421,683 +121025,426 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - [45904] = 3, + [112592] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(185), 19, - anon_sym_EQ, - anon_sym_COMMA, + ACTIONS(3159), 17, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(187), 21, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [45952] = 3, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [112615] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(329), 19, - anon_sym_EQ, - anon_sym_COMMA, + ACTIONS(3161), 17, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(331), 21, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [46000] = 3, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [112638] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(371), 19, - anon_sym_EQ, - anon_sym_COMMA, + ACTIONS(3163), 17, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(373), 21, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [46048] = 3, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [112661] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(325), 19, - anon_sym_EQ, - anon_sym_COMMA, + ACTIONS(3165), 17, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(327), 21, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [46096] = 3, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [112684] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3167), 17, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [112707] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(367), 19, - anon_sym_EQ, + ACTIONS(3151), 1, + anon_sym_DOT, + STATE(1809), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(119), 15, anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_LPAREN, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(369), 21, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [46144] = 3, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token2, + aux_sym_null_hint_token3, + aux_sym_grant_statement_token8, + aux_sym_auto_increment_constraint_token1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + anon_sym_CONSTRAINT, + aux_sym_table_constraint_check_token1, + aux_sym_table_constraint_unique_token1, + aux_sym_table_constraint_primary_key_token1, + [112734] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(265), 19, - anon_sym_EQ, - anon_sym_COMMA, + ACTIONS(3071), 17, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(267), 21, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [46192] = 3, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [112757] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(317), 19, - anon_sym_EQ, - anon_sym_COMMA, + ACTIONS(3130), 17, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(319), 21, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [112780] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3169), 17, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [46240] = 3, + [112803] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(411), 19, - anon_sym_EQ, - anon_sym_COMMA, + ACTIONS(3171), 17, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(413), 21, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [46288] = 3, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [112826] = 15, ACTIONS(3), 1, sym_comment, - ACTIONS(375), 19, - anon_sym_EQ, - anon_sym_COMMA, + ACTIONS(2642), 1, + aux_sym_join_type_token1, + ACTIONS(2714), 1, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(377), 21, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, + ACTIONS(3078), 1, + aux_sym_grant_statement_token12, + ACTIONS(3080), 1, aux_sym_order_by_clause_token1, + ACTIONS(3082), 1, + aux_sym_limit_clause_token1, + ACTIONS(3084), 1, aux_sym_where_clause_token1, - aux_sym_join_type_token1, + ACTIONS(3088), 1, + aux_sym_join_clause_token1, + STATE(1831), 1, + sym_limit_clause, + STATE(2036), 1, + sym_where_clause, + STATE(2155), 1, + sym_group_by_clause, + STATE(2327), 1, + sym_order_by_clause, + STATE(3400), 1, + sym_join_type, + STATE(1922), 2, + sym_join_clause, + aux_sym__select_statement_repeat1, + ACTIONS(2644), 3, aux_sym_join_type_token2, aux_sym_join_type_token3, aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [46336] = 3, + [112875] = 15, ACTIONS(3), 1, sym_comment, - ACTIONS(321), 19, - anon_sym_EQ, - anon_sym_COMMA, + ACTIONS(2642), 1, + aux_sym_join_type_token1, + ACTIONS(2714), 1, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(323), 21, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, + ACTIONS(3078), 1, + aux_sym_grant_statement_token12, + ACTIONS(3080), 1, aux_sym_order_by_clause_token1, + ACTIONS(3082), 1, + aux_sym_limit_clause_token1, + ACTIONS(3084), 1, aux_sym_where_clause_token1, - aux_sym_join_type_token1, + ACTIONS(3088), 1, + aux_sym_join_clause_token1, + STATE(1831), 1, + sym_limit_clause, + STATE(2036), 1, + sym_where_clause, + STATE(2155), 1, + sym_group_by_clause, + STATE(2327), 1, + sym_order_by_clause, + STATE(3400), 1, + sym_join_type, + STATE(1811), 2, + sym_join_clause, + aux_sym__select_statement_repeat1, + ACTIONS(2644), 3, aux_sym_join_type_token2, aux_sym_join_type_token3, aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [46384] = 3, + [112924] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(397), 19, - anon_sym_EQ, - anon_sym_COMMA, + ACTIONS(3173), 17, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(399), 21, - aux_sym_sequence_token2, - aux_sym_sequence_token3, - aux_sym_create_function_parameter_token1, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [46432] = 3, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [112947] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(77), 15, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DOT, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(75), 24, - anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, + ACTIONS(2947), 17, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_DASH_GT_GT, - anon_sym_LBRACK, - anon_sym_COLON_COLON, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [46479] = 5, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [112970] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1132), 1, - anon_sym_DOT, - STATE(636), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(87), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(85), 29, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_LPAREN, + ACTIONS(3175), 17, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_LBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [46530] = 3, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [112993] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(749), 1, + anon_sym_LPAREN, + ACTIONS(2784), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [113018] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3179), 1, + aux_sym_cte_token1, + ACTIONS(3177), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [113043] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(111), 9, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DOT, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(109), 30, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_LPAREN, + ACTIONS(2680), 17, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_LBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [46577] = 16, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [113066] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1093), 1, - aux_sym_alter_table_action_alter_column_token3, - ACTIONS(1095), 1, - aux_sym_sequence_token2, - ACTIONS(1097), 1, - aux_sym_sequence_token5, - ACTIONS(1099), 1, - aux_sym_null_hint_token3, - ACTIONS(1101), 1, - aux_sym_grant_statement_token9, - ACTIONS(1103), 1, - aux_sym_auto_increment_constraint_token1, - ACTIONS(1107), 1, - aux_sym_time_zone_constraint_token1, - ACTIONS(1109), 1, - anon_sym_CONSTRAINT, - ACTIONS(1111), 1, - aux_sym_table_constraint_check_token1, - ACTIONS(1113), 1, - aux_sym_table_constraint_unique_token1, - ACTIONS(1115), 1, - aux_sym_table_constraint_primary_key_token1, - STATE(820), 1, - sym_NULL, - ACTIONS(1105), 2, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - STATE(664), 11, - sym_auto_increment_constraint, - sym_direction_constraint, - sym_time_zone_constraint, - sym_named_constraint, - sym_column_default, - sym_primary_key_constraint, - sym_references_constraint, - sym_unique_constraint, - sym_null_constraint, - sym_check_constraint, - aux_sym_table_column_repeat1, - ACTIONS(1134), 14, + ACTIONS(3124), 17, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, @@ -53107,163 +121454,404 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - [46650] = 24, + [113089] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(227), 1, - sym__unquoted_identifier, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(1136), 1, - aux_sym_sequence_token2, - ACTIONS(1138), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1142), 1, - anon_sym_COMMA, - ACTIONS(1144), 1, + ACTIONS(3181), 17, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, anon_sym_RPAREN, - ACTIONS(1148), 1, - aux_sym_is_expression_token1, - ACTIONS(1150), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1152), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1156), 1, - anon_sym_DASH, - ACTIONS(1160), 1, - anon_sym_CARET, - ACTIONS(1164), 1, - anon_sym_SLASH, - STATE(10), 1, - sym__quoted_identifier, - STATE(1338), 1, - sym_identifier, - STATE(1402), 1, - sym_op_class, - STATE(1403), 1, - aux_sym_index_table_parameters_repeat1, - ACTIONS(1146), 2, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - STATE(1375), 2, - sym_dotted_name, - sym__identifier, - ACTIONS(1154), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1158), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1162), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1140), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [46739] = 3, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [113112] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(69), 15, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DOT, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(67), 24, - anon_sym_EQ, + ACTIONS(119), 1, + anon_sym_COLON_COLON, + ACTIONS(768), 1, anon_sym_LPAREN, + ACTIONS(2786), 1, + anon_sym_DOT, + STATE(2087), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(2784), 13, anon_sym_COMMA, + aux_sym_cte_token2, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_DASH_GT_GT, - anon_sym_LBRACK, - anon_sym_COLON_COLON, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [46786] = 16, - ACTIONS(3), 1, - sym_comment, - ACTIONS(1168), 1, aux_sym_alter_table_action_alter_column_token3, - ACTIONS(1171), 1, - aux_sym_sequence_token2, - ACTIONS(1174), 1, - aux_sym_sequence_token5, - ACTIONS(1177), 1, aux_sym_null_hint_token3, - ACTIONS(1180), 1, - aux_sym_grant_statement_token9, - ACTIONS(1183), 1, + aux_sym_grant_statement_token8, aux_sym_auto_increment_constraint_token1, - ACTIONS(1189), 1, - aux_sym_time_zone_constraint_token1, - ACTIONS(1192), 1, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, anon_sym_CONSTRAINT, - ACTIONS(1195), 1, aux_sym_table_constraint_check_token1, - ACTIONS(1198), 1, aux_sym_table_constraint_unique_token1, - ACTIONS(1201), 1, aux_sym_table_constraint_primary_key_token1, - STATE(820), 1, - sym_NULL, - ACTIONS(1186), 2, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - STATE(664), 11, - sym_auto_increment_constraint, - sym_direction_constraint, - sym_time_zone_constraint, - sym_named_constraint, - sym_column_default, - sym_primary_key_constraint, - sym_references_constraint, - sym_unique_constraint, - sym_null_constraint, - sym_check_constraint, - aux_sym_table_column_repeat1, - ACTIONS(1166), 14, + [113143] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2714), 17, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + anon_sym_RPAREN, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [113166] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3183), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [113188] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3185), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [113210] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3187), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [113232] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3189), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [113254] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3191), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [113276] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1821), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [113298] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3140), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [113320] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3193), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [113342] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3195), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [113364] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3197), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [113386] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3102), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [113408] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3000), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [113430] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3199), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [113452] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3201), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [113474] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3203), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [113496] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3205), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [113518] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3207), 16, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, @@ -53273,24361 +121861,16133 @@ static const uint16_t ts_small_parse_table[] = { aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - [46859] = 22, - ACTIONS(3), 1, - sym_comment, - ACTIONS(227), 1, - sym__unquoted_identifier, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(1136), 1, - aux_sym_sequence_token2, - ACTIONS(1138), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1148), 1, - aux_sym_is_expression_token1, - ACTIONS(1150), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1152), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1156), 1, - anon_sym_DASH, - ACTIONS(1160), 1, - anon_sym_CARET, - ACTIONS(1164), 1, - anon_sym_SLASH, - STATE(10), 1, - sym__quoted_identifier, - STATE(1338), 1, - sym_identifier, - STATE(1497), 1, - sym_op_class, - ACTIONS(1146), 2, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - ACTIONS(1204), 2, - anon_sym_COMMA, - anon_sym_RPAREN, - STATE(1375), 2, - sym_dotted_name, - sym__identifier, - ACTIONS(1154), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1158), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1162), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1140), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [46943] = 5, - ACTIONS(3), 1, - sym_comment, - ACTIONS(1124), 1, - anon_sym_DOT, - STATE(667), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(81), 14, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(79), 22, - anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_LBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [46993] = 5, - ACTIONS(3), 1, - sym_comment, - ACTIONS(1206), 1, - anon_sym_DOT, - STATE(667), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(111), 14, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(109), 22, - anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_LBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [47043] = 9, - ACTIONS(3), 1, - sym_comment, - ACTIONS(1209), 1, - anon_sym_LPAREN, - ACTIONS(1211), 1, - anon_sym_DOT, - ACTIONS(1213), 1, - anon_sym_DASH_GT_GT, - ACTIONS(1215), 1, - anon_sym_LBRACK, - ACTIONS(1217), 1, - anon_sym_COLON_COLON, - STATE(704), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(87), 7, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(85), 24, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [47100] = 9, - ACTIONS(3), 1, - sym_comment, - ACTIONS(1219), 1, - anon_sym_LPAREN, - ACTIONS(1221), 1, - anon_sym_DOT, - ACTIONS(1223), 1, - anon_sym_DASH_GT_GT, - ACTIONS(1225), 1, - anon_sym_LBRACK, - ACTIONS(1227), 1, - anon_sym_COLON_COLON, - STATE(694), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(87), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(85), 24, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [47157] = 4, - ACTIONS(3), 1, - sym_comment, - ACTIONS(1229), 1, - anon_sym_LPAREN, - ACTIONS(175), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(173), 28, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_LBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [47204] = 5, - ACTIONS(3), 1, - sym_comment, - ACTIONS(1231), 1, - anon_sym_DOT, - STATE(666), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(87), 14, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(85), 21, - anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_LBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [47253] = 3, + [113540] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(111), 15, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DOT, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(109), 22, - anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_LBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [47298] = 4, + ACTIONS(3209), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [113562] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1233), 1, - anon_sym_LPAREN, - ACTIONS(175), 2, - aux_sym_sequence_token5, - aux_sym_sequence_token8, - ACTIONS(173), 34, + ACTIONS(3211), 16, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_sequence_token4, - aux_sym_sequence_token6, - aux_sym_sequence_token11, - aux_sym_sequence_token12, aux_sym_pg_command_token1, - aux_sym_null_hint_token3, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - anon_sym_LBRACK, - [47345] = 3, + [113584] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(253), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(251), 28, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_COLON_COLON, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [47389] = 3, + ACTIONS(3213), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [113606] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(69), 8, - aux_sym_boolean_expression_token2, - anon_sym_DOT, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(67), 28, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_DASH_GT_GT, - anon_sym_LBRACK, - anon_sym_COLON_COLON, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [47433] = 3, + ACTIONS(3215), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [113628] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(199), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(197), 28, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_COLON_COLON, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [47477] = 4, + ACTIONS(3217), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [113650] = 11, ACTIONS(3), 1, sym_comment, - ACTIONS(1235), 1, - anon_sym_LBRACK, - ACTIONS(193), 8, + ACTIONS(3221), 1, + sym__unquoted_identifier, + ACTIONS(3223), 1, + anon_sym_BQUOTE, + ACTIONS(3225), 1, + anon_sym_DQUOTE, + STATE(1697), 1, + sym_identifier, + STATE(1914), 1, + sym__quoted_identifier, + STATE(2262), 1, + sym_constrained_type, + STATE(2323), 1, + sym_create_function_parameter, + STATE(1217), 2, + sym_dotted_name, + sym__identifier, + STATE(1988), 3, + sym_type, + sym_array_type, + sym__type, + ACTIONS(3219), 4, aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(191), 27, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [47523] = 3, + aux_sym_create_function_parameter_token2, + aux_sym_create_function_parameter_token3, + aux_sym_create_function_parameter_token4, + [113690] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(249), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(247), 28, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_COLON_COLON, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [47567] = 6, + ACTIONS(3227), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [113712] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1239), 1, - aux_sym_sequence_token5, - ACTIONS(1241), 1, - aux_sym_null_hint_token2, - ACTIONS(1243), 1, - anon_sym_LPAREN, - STATE(705), 3, - sym_on_update_action, - sym_on_delete_action, - aux_sym_references_constraint_repeat1, - ACTIONS(1237), 30, + ACTIONS(3229), 16, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, aux_sym_pg_command_token1, - aux_sym_null_hint_token3, - anon_sym_COMMA, - anon_sym_RPAREN, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - [47617] = 3, + [113734] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(183), 2, - aux_sym_sequence_token5, - aux_sym_sequence_token8, - ACTIONS(181), 34, + ACTIONS(3231), 16, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_sequence_token4, - aux_sym_sequence_token6, - aux_sym_sequence_token11, - aux_sym_sequence_token12, aux_sym_pg_command_token1, - aux_sym_null_hint_token3, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - anon_sym_LBRACK, - [47661] = 3, + [113756] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(207), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(205), 28, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_COLON_COLON, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [47705] = 3, + ACTIONS(3233), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [113778] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(77), 8, - aux_sym_create_function_parameter_token1, - anon_sym_DOT, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(75), 28, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH_GT_GT, - anon_sym_LBRACK, - anon_sym_COLON_COLON, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [47749] = 3, + ACTIONS(3235), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [113800] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(69), 8, - aux_sym_create_function_parameter_token1, - anon_sym_DOT, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(67), 28, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH_GT_GT, - anon_sym_LBRACK, - anon_sym_COLON_COLON, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [47793] = 3, + ACTIONS(3237), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [113822] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(183), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(181), 28, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_LBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [47837] = 3, + ACTIONS(3239), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [113844] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(73), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(71), 28, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_LBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [47881] = 3, + ACTIONS(3241), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [113866] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(77), 8, - aux_sym_boolean_expression_token2, - anon_sym_DOT, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(75), 28, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_DASH_GT_GT, - anon_sym_LBRACK, - anon_sym_COLON_COLON, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [47925] = 3, + ACTIONS(3243), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [113888] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(203), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(201), 28, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_COLON_COLON, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [47969] = 17, + ACTIONS(3245), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [113910] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1245), 1, + ACTIONS(3247), 16, ts_builtin_sym_end, - ACTIONS(1247), 1, + anon_sym_SEMI, aux_sym_begin_statement_token1, - ACTIONS(1250), 1, aux_sym_commit_statement_token1, - ACTIONS(1253), 1, aux_sym_rollback_statement_token1, - ACTIONS(1256), 1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, - ACTIONS(1259), 1, aux_sym_alter_statement_token1, - ACTIONS(1262), 1, aux_sym_alter_table_action_alter_column_token2, - ACTIONS(1265), 1, aux_sym_pg_command_token1, - ACTIONS(1268), 1, aux_sym_drop_statement_token1, - ACTIONS(1271), 1, aux_sym_grant_statement_token1, - ACTIONS(1274), 1, aux_sym_grant_statement_token4, - ACTIONS(1277), 1, aux_sym_grant_statement_token5, - ACTIONS(1280), 1, aux_sym_grant_statement_token6, - STATE(857), 1, - sym_select_clause, - STATE(688), 2, - sym__statement, - aux_sym_source_file_repeat1, - STATE(1104), 20, - sym_begin_statement, - sym_commit_statement, - sym_rollback_statement, - sym_create_statement, - sym_alter_statement, - sym_pg_command, - sym_create_function_statement, - sym_create_extension_statement, - sym_create_role_statement, - sym_create_schema_statement, - sym_drop_statement, - sym_set_statement, - sym_grant_statement, - sym_create_domain_statement, - sym_create_type_statement, - sym_create_index_statement, - sym_create_table_statement, - sym_select_statement, - sym_update_statement, - sym_insert_statement, - [48041] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(303), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(301), 28, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_LBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [48085] = 17, + [113932] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(7), 1, + ACTIONS(3249), 16, + ts_builtin_sym_end, + anon_sym_SEMI, aux_sym_begin_statement_token1, - ACTIONS(9), 1, aux_sym_commit_statement_token1, - ACTIONS(11), 1, aux_sym_rollback_statement_token1, - ACTIONS(13), 1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, - ACTIONS(15), 1, aux_sym_alter_statement_token1, - ACTIONS(17), 1, aux_sym_alter_table_action_alter_column_token2, - ACTIONS(19), 1, aux_sym_pg_command_token1, - ACTIONS(21), 1, aux_sym_drop_statement_token1, - ACTIONS(23), 1, aux_sym_grant_statement_token1, - ACTIONS(25), 1, aux_sym_grant_statement_token4, - ACTIONS(27), 1, aux_sym_grant_statement_token5, - ACTIONS(29), 1, aux_sym_grant_statement_token6, - ACTIONS(1283), 1, - ts_builtin_sym_end, - STATE(857), 1, - sym_select_clause, - STATE(688), 2, - sym__statement, - aux_sym_source_file_repeat1, - STATE(1104), 20, - sym_begin_statement, - sym_commit_statement, - sym_rollback_statement, - sym_create_statement, - sym_alter_statement, - sym_pg_command, - sym_create_function_statement, - sym_create_extension_statement, - sym_create_role_statement, - sym_create_schema_statement, - sym_drop_statement, - sym_set_statement, - sym_grant_statement, - sym_create_domain_statement, - sym_create_type_statement, - sym_create_index_statement, - sym_create_table_statement, - sym_select_statement, - sym_update_statement, - sym_insert_statement, - [48157] = 4, - ACTIONS(3), 1, - sym_comment, - ACTIONS(1285), 1, - anon_sym_DOT_STAR, - ACTIONS(267), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(265), 27, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [48203] = 4, - ACTIONS(3), 1, - sym_comment, - ACTIONS(1031), 1, - anon_sym_COLON_COLON, - ACTIONS(267), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(265), 27, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [48249] = 4, - ACTIONS(3), 1, - sym_comment, - ACTIONS(1029), 1, - anon_sym_LBRACK, - ACTIONS(267), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(265), 27, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [48295] = 5, + [113954] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1221), 1, - anon_sym_DOT, - STATE(722), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(81), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(79), 26, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_LBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [48342] = 3, + ACTIONS(3251), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [113976] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(313), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(311), 27, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [48385] = 3, + ACTIONS(3253), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [113998] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(405), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(403), 27, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [48428] = 3, + ACTIONS(1805), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [114020] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(413), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(411), 27, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [48471] = 3, + ACTIONS(3255), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [114042] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(339), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(337), 27, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [48514] = 14, + ACTIONS(3257), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [114064] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1287), 1, - aux_sym_sequence_token2, - ACTIONS(1289), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1293), 1, - aux_sym_is_expression_token1, - ACTIONS(1295), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1297), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1301), 1, - anon_sym_DASH, - ACTIONS(1305), 1, - anon_sym_CARET, - ACTIONS(1309), 1, - anon_sym_SLASH, - ACTIONS(1299), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1303), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1307), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1291), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(383), 9, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - [48579] = 3, + ACTIONS(3259), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [114086] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(381), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(379), 27, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [48622] = 5, + ACTIONS(3261), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [114108] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1311), 1, - anon_sym_DOT, - STATE(701), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(111), 7, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(109), 26, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_LBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [48669] = 3, + ACTIONS(3263), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [114130] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(377), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(375), 27, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [48712] = 3, + ACTIONS(3265), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [114152] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(267), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(265), 27, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [48755] = 5, + ACTIONS(3267), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [114174] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1211), 1, - anon_sym_DOT, - STATE(701), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(81), 7, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(79), 26, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_LBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [48802] = 5, + ACTIONS(3269), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [114196] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1241), 1, - aux_sym_null_hint_token2, - ACTIONS(1316), 1, - aux_sym_sequence_token5, - STATE(726), 3, - sym_on_update_action, - sym_on_delete_action, - aux_sym_references_constraint_repeat1, - ACTIONS(1314), 30, + ACTIONS(3271), 16, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, aux_sym_pg_command_token1, - aux_sym_null_hint_token3, - anon_sym_COMMA, - anon_sym_RPAREN, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - [48849] = 14, + [114218] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1287), 1, - aux_sym_sequence_token2, - ACTIONS(1289), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1293), 1, - aux_sym_is_expression_token1, - ACTIONS(1295), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1297), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1301), 1, - anon_sym_DASH, - ACTIONS(1305), 1, - anon_sym_CARET, - ACTIONS(1309), 1, - anon_sym_SLASH, - ACTIONS(1299), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1303), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1307), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1291), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(517), 9, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - [48914] = 3, + ACTIONS(3273), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [114240] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(373), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(371), 27, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [48957] = 3, + ACTIONS(3275), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [114262] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(319), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(317), 27, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [49000] = 3, + ACTIONS(3277), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [114284] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(323), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(321), 27, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [49043] = 3, + ACTIONS(3279), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [114306] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3281), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [114328] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3283), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [114350] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(409), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(407), 27, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [49086] = 4, + ACTIONS(3285), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [114372] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1305), 1, - anon_sym_CARET, - ACTIONS(313), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(311), 26, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [49131] = 14, + ACTIONS(3287), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [114394] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1287), 1, - aux_sym_sequence_token2, - ACTIONS(1289), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1293), 1, - aux_sym_is_expression_token1, - ACTIONS(1295), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1297), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1301), 1, - anon_sym_DASH, - ACTIONS(1305), 1, - anon_sym_CARET, - ACTIONS(1309), 1, - anon_sym_SLASH, - ACTIONS(1299), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1303), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1307), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1291), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(533), 9, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - [49196] = 3, + ACTIONS(3289), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [114416] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(299), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(297), 27, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [49239] = 6, + ACTIONS(3291), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [114438] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1305), 1, - anon_sym_CARET, - ACTIONS(1309), 1, - anon_sym_SLASH, - ACTIONS(1307), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(313), 7, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(311), 21, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [49288] = 5, + ACTIONS(3293), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [114460] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1241), 1, - aux_sym_null_hint_token2, - ACTIONS(1320), 1, - aux_sym_sequence_token5, - STATE(726), 3, - sym_on_update_action, - sym_on_delete_action, - aux_sym_references_constraint_repeat1, - ACTIONS(1318), 30, + ACTIONS(3295), 16, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, aux_sym_pg_command_token1, - aux_sym_null_hint_token3, - anon_sym_COMMA, - anon_sym_RPAREN, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - [49335] = 11, + [114482] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1295), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1301), 1, - anon_sym_DASH, - ACTIONS(1305), 1, - anon_sym_CARET, - ACTIONS(1309), 1, - anon_sym_SLASH, - ACTIONS(359), 2, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - ACTIONS(1299), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1303), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1307), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1291), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(357), 11, - aux_sym_sequence_token2, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - [49394] = 3, + ACTIONS(3297), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [114504] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(399), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(397), 27, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [49437] = 10, + ACTIONS(3299), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [114526] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1301), 1, - anon_sym_DASH, - ACTIONS(1305), 1, - anon_sym_CARET, - ACTIONS(1309), 1, - anon_sym_SLASH, - ACTIONS(359), 2, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - ACTIONS(1299), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1303), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1307), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1291), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(357), 12, - aux_sym_sequence_token2, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - [49494] = 3, + ACTIONS(3126), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [114548] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(355), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(353), 27, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [49537] = 8, + ACTIONS(3301), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [114570] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3100), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [114592] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3303), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [114614] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1301), 1, - anon_sym_DASH, - ACTIONS(1305), 1, - anon_sym_CARET, - ACTIONS(1309), 1, - anon_sym_SLASH, - ACTIONS(1299), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1307), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(313), 6, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(311), 18, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [49590] = 3, + ACTIONS(3307), 1, + anon_sym_SEMI, + ACTIONS(3305), 15, + ts_builtin_sym_end, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [114638] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(343), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(341), 27, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [49633] = 5, + ACTIONS(3309), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [114660] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1322), 1, - anon_sym_DOT, - STATE(722), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(111), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(109), 26, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_LBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [49680] = 3, + ACTIONS(2992), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [114682] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3311), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [114704] = 11, ACTIONS(3), 1, sym_comment, - ACTIONS(335), 8, + ACTIONS(3221), 1, + sym__unquoted_identifier, + ACTIONS(3223), 1, + anon_sym_BQUOTE, + ACTIONS(3225), 1, + anon_sym_DQUOTE, + STATE(1697), 1, + sym_identifier, + STATE(1914), 1, + sym__quoted_identifier, + STATE(2262), 1, + sym_constrained_type, + STATE(2445), 1, + sym_create_function_parameter, + STATE(1217), 2, + sym_dotted_name, + sym__identifier, + STATE(1988), 3, + sym_type, + sym_array_type, + sym__type, + ACTIONS(3219), 4, aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(333), 27, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [49723] = 5, + aux_sym_create_function_parameter_token2, + aux_sym_create_function_parameter_token3, + aux_sym_create_function_parameter_token4, + [114744] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1241), 1, - aux_sym_null_hint_token2, - ACTIONS(1327), 1, - aux_sym_sequence_token5, - STATE(715), 3, - sym_on_update_action, - sym_on_delete_action, - aux_sym_references_constraint_repeat1, - ACTIONS(1325), 30, + ACTIONS(3313), 16, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, aux_sym_pg_command_token1, - aux_sym_null_hint_token3, - anon_sym_COMMA, - anon_sym_RPAREN, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - [49770] = 5, + [114766] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1241), 1, - aux_sym_null_hint_token2, - ACTIONS(1327), 1, - aux_sym_sequence_token5, - STATE(726), 3, - sym_on_update_action, - sym_on_delete_action, - aux_sym_references_constraint_repeat1, - ACTIONS(1325), 30, + ACTIONS(3315), 16, ts_builtin_sym_end, anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, aux_sym_pg_command_token1, - aux_sym_null_hint_token3, - anon_sym_COMMA, - anon_sym_RPAREN, aux_sym_drop_statement_token1, aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, + [114788] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3317), 16, + ts_builtin_sym_end, + anon_sym_SEMI, + aux_sym_begin_statement_token1, + aux_sym_commit_statement_token1, + aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, + aux_sym_create_statement_token1, + aux_sym_alter_statement_token1, + aux_sym_alter_table_action_alter_column_token2, + aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [114810] = 16, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2178), 1, + aux_sym_table_constraint_unique_token1, + ACTIONS(3319), 1, + aux_sym_create_statement_token2, + ACTIONS(3321), 1, + aux_sym_create_statement_token3, + ACTIONS(3323), 1, + aux_sym_alter_table_token1, + ACTIONS(3325), 1, + aux_sym_sequence_token1, + ACTIONS(3327), 1, + aux_sym_create_function_statement_token1, + ACTIONS(3329), 1, + aux_sym_create_function_statement_token2, + ACTIONS(3331), 1, + aux_sym_create_extension_statement_token1, + ACTIONS(3333), 1, + aux_sym_create_role_statement_token1, + ACTIONS(3335), 1, + aux_sym_create_schema_statement_token1, + ACTIONS(3337), 1, + aux_sym_create_domain_statement_token1, + ACTIONS(3339), 1, + aux_sym_create_type_statement_token1, + ACTIONS(3341), 1, + aux_sym_create_index_statement_token1, + STATE(1854), 1, + sym_sequence, + STATE(3041), 1, + sym_unique_constraint, + [114859] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(157), 7, + aux_sym_with_clause_token1, + aux_sym_cte_token2, + aux_sym_null_hint_token3, + sym__unquoted_identifier, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + ACTIONS(155), 8, + anon_sym_COMMA, + anon_sym_LPAREN, + anon_sym_RPAREN, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DOT, + anon_sym_LBRACK, + [114882] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(346), 1, + anon_sym_LBRACK, + STATE(79), 1, + aux_sym_array_type_repeat1, + ACTIONS(328), 13, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_grant_statement_token8, aux_sym_auto_increment_constraint_token1, aux_sym_direction_constraint_token1, aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, anon_sym_CONSTRAINT, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, aux_sym_table_constraint_check_token1, aux_sym_table_constraint_unique_token1, aux_sym_table_constraint_primary_key_token1, - [49817] = 5, + [114907] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1331), 1, - aux_sym_sequence_token5, - ACTIONS(1333), 1, - aux_sym_null_hint_token2, - STATE(726), 3, - sym_on_update_action, - sym_on_delete_action, - aux_sym_references_constraint_repeat1, - ACTIONS(1329), 30, + ACTIONS(3343), 15, ts_builtin_sym_end, - anon_sym_SEMI, aux_sym_begin_statement_token1, aux_sym_commit_statement_token1, aux_sym_rollback_statement_token1, + aux_sym_with_clause_token1, + aux_sym_insert_statement_token1, aux_sym_create_statement_token1, aux_sym_alter_statement_token1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, aux_sym_pg_command_token1, + aux_sym_drop_statement_token1, + aux_sym_grant_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [114928] = 12, + ACTIONS(3), 1, + sym_comment, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(1409), 1, + anon_sym_BQUOTE, + ACTIONS(1411), 1, + anon_sym_DQUOTE, + ACTIONS(3345), 1, + anon_sym_LPAREN, + ACTIONS(3347), 1, + sym__unquoted_identifier, + STATE(24), 1, + sym__quoted_identifier, + STATE(1834), 1, + sym_identifier, + STATE(1671), 2, + sym__column_default_expression, + sym_type_cast, + STATE(3005), 2, + sym_dotted_name, + sym__identifier, + STATE(1918), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + [114969] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(133), 7, + aux_sym_with_clause_token1, + aux_sym_cte_token2, aux_sym_null_hint_token3, + sym__unquoted_identifier, + aux_sym_type_token1, + aux_sym_type_token2, + aux_sym_type_token3, + ACTIONS(131), 8, anon_sym_COMMA, + anon_sym_LPAREN, anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, + anon_sym_EQ, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DOT, + anon_sym_LBRACK, + [114992] = 12, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1409), 1, + anon_sym_BQUOTE, + ACTIONS(1411), 1, + anon_sym_DQUOTE, + ACTIONS(1413), 1, + anon_sym_SQUOTE, + ACTIONS(1415), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(3347), 1, + sym__unquoted_identifier, + ACTIONS(3349), 1, + anon_sym_LPAREN, + STATE(24), 1, + sym__quoted_identifier, + STATE(1664), 1, + sym_identifier, + STATE(1671), 2, + sym__column_default_expression, + sym_type_cast, + STATE(2927), 2, + sym_dotted_name, + sym__identifier, + STATE(1689), 3, + sym_function_call, + sym__parenthesized_expression, + sym_string, + [115033] = 10, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3351), 1, + aux_sym_setof_token1, + ACTIONS(3353), 1, + sym__unquoted_identifier, + ACTIONS(3355), 1, + anon_sym_BQUOTE, + ACTIONS(3357), 1, + anon_sym_DQUOTE, + STATE(1137), 1, + sym__quoted_identifier, + STATE(1396), 1, + sym_identifier, + STATE(9), 2, + sym_dotted_name, + sym__identifier, + STATE(1561), 3, + sym_type, + sym_array_type, + sym__type, + STATE(1573), 3, + sym__create_function_return_type, + sym_setof, + sym_constrained_type, + [115069] = 12, + ACTIONS(3), 1, + sym_comment, + ACTIONS(13), 1, + aux_sym_with_clause_token1, + ACTIONS(3359), 1, + aux_sym_insert_statement_token1, + ACTIONS(3361), 1, aux_sym_grant_statement_token4, + ACTIONS(3363), 1, aux_sym_grant_statement_token5, + ACTIONS(3365), 1, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, + STATE(1769), 1, + sym_select_clause, + STATE(1823), 1, + sym__select_statement, + STATE(1826), 1, + sym__update_statement, + STATE(1828), 1, + sym__delete_statement, + STATE(2031), 1, + sym_with_clause, + STATE(2945), 4, + sym_select_statement, + sym_insert_statement, + sym_update_statement, + sym_delete_statement, + [115109] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3367), 1, + anon_sym_COLON_COLON, + ACTIONS(2784), 13, + anon_sym_COMMA, + aux_sym_cte_token2, + anon_sym_RPAREN, + aux_sym_alter_table_action_alter_column_token3, + aux_sym_null_hint_token3, + aux_sym_grant_statement_token8, aux_sym_auto_increment_constraint_token1, aux_sym_direction_constraint_token1, aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, anon_sym_CONSTRAINT, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, aux_sym_table_constraint_check_token1, aux_sym_table_constraint_unique_token1, aux_sym_table_constraint_primary_key_token1, - [49864] = 3, + [115131] = 12, + ACTIONS(3), 1, + sym_comment, + ACTIONS(13), 1, + aux_sym_with_clause_token1, + ACTIONS(3359), 1, + aux_sym_insert_statement_token1, + ACTIONS(3361), 1, + aux_sym_grant_statement_token4, + ACTIONS(3363), 1, + aux_sym_grant_statement_token5, + ACTIONS(3365), 1, + aux_sym_grant_statement_token6, + STATE(1769), 1, + sym_select_clause, + STATE(1823), 1, + sym__select_statement, + STATE(1826), 1, + sym__update_statement, + STATE(1828), 1, + sym__delete_statement, + STATE(2031), 1, + sym_with_clause, + STATE(2869), 4, + sym_select_statement, + sym_insert_statement, + sym_update_statement, + sym_delete_statement, + [115171] = 10, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3351), 1, + aux_sym_setof_token1, + ACTIONS(3353), 1, + sym__unquoted_identifier, + ACTIONS(3355), 1, + anon_sym_BQUOTE, + ACTIONS(3357), 1, + anon_sym_DQUOTE, + STATE(1137), 1, + sym__quoted_identifier, + STATE(1396), 1, + sym_identifier, + STATE(9), 2, + sym_dotted_name, + sym__identifier, + STATE(1559), 3, + sym__create_function_return_type, + sym_setof, + sym_constrained_type, + STATE(1561), 3, + sym_type, + sym_array_type, + sym__type, + [115207] = 12, + ACTIONS(3), 1, + sym_comment, + ACTIONS(13), 1, + aux_sym_with_clause_token1, + ACTIONS(3359), 1, + aux_sym_insert_statement_token1, + ACTIONS(3361), 1, + aux_sym_grant_statement_token4, + ACTIONS(3363), 1, + aux_sym_grant_statement_token5, + ACTIONS(3365), 1, + aux_sym_grant_statement_token6, + STATE(1769), 1, + sym_select_clause, + STATE(1823), 1, + sym__select_statement, + STATE(1826), 1, + sym__update_statement, + STATE(1828), 1, + sym__delete_statement, + STATE(2031), 1, + sym_with_clause, + STATE(2905), 4, + sym_select_statement, + sym_insert_statement, + sym_update_statement, + sym_delete_statement, + [115247] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2827), 1, + aux_sym_join_type_token1, + ACTIONS(3369), 1, + aux_sym_join_clause_token1, + STATE(3400), 1, + sym_join_type, + STATE(1922), 2, + sym_join_clause, + aux_sym__select_statement_repeat1, + ACTIONS(2830), 3, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + ACTIONS(2825), 5, + anon_sym_RPAREN, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + [115276] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3372), 1, + anon_sym_COMMA, + STATE(1923), 1, + aux_sym_select_clause_body_repeat1, + ACTIONS(2808), 11, + anon_sym_RPAREN, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + [115299] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3375), 1, + anon_sym_COMMA, + STATE(1923), 1, + aux_sym_select_clause_body_repeat1, + ACTIONS(2836), 11, + anon_sym_RPAREN, + aux_sym_grant_statement_token12, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + aux_sym_join_type_token1, + aux_sym_join_type_token2, + aux_sym_join_type_token3, + aux_sym_join_type_token4, + aux_sym_join_clause_token1, + [115322] = 10, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3377), 1, + aux_sym_alter_table_action_alter_column_token1, + ACTIONS(3379), 1, + aux_sym__table_constraint_token1, + ACTIONS(3381), 1, + aux_sym_table_constraint_check_token1, + ACTIONS(3383), 1, + aux_sym_table_constraint_exclude_token1, + ACTIONS(3385), 1, + aux_sym_table_constraint_foreign_key_token1, + ACTIONS(3387), 1, + aux_sym_table_constraint_unique_token1, + ACTIONS(3389), 1, + aux_sym_table_constraint_primary_key_token1, + STATE(1870), 1, + sym__table_constraint, + STATE(1704), 5, + sym_table_constraint_check, + sym_table_constraint_exclude, + sym_table_constraint_foreign_key, + sym_table_constraint_unique, + sym_table_constraint_primary_key, + [115357] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(187), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(185), 27, - aux_sym_sequence_token2, - anon_sym_EQ, + ACTIONS(3375), 1, + anon_sym_COMMA, + STATE(1924), 1, + aux_sym_select_clause_body_repeat1, + ACTIONS(2819), 11, anon_sym_RPAREN, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, + aux_sym_from_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, aux_sym_join_type_token3, aux_sym_join_type_token4, aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [49907] = 3, + [115380] = 10, ACTIONS(3), 1, sym_comment, - ACTIONS(331), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(329), 27, - aux_sym_sequence_token2, - anon_sym_EQ, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(1519), 1, + anon_sym_SQUOTE, + ACTIONS(1521), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(3349), 1, + anon_sym_LPAREN, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(1829), 1, + sym_identifier, + STATE(1878), 4, + sym__column_default_expression, + sym_function_call, + sym__parenthesized_expression, + sym_string, + [115414] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3393), 1, + anon_sym_COMMA, + STATE(1930), 1, + aux_sym_select_clause_body_repeat1, + ACTIONS(2850), 10, anon_sym_RPAREN, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, aux_sym_join_type_token3, aux_sym_join_type_token4, aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [49950] = 3, + [115436] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(327), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(325), 27, - aux_sym_sequence_token2, - anon_sym_EQ, + ACTIONS(3393), 1, + anon_sym_COMMA, + STATE(1928), 1, + aux_sym_select_clause_body_repeat1, + ACTIONS(2846), 10, anon_sym_RPAREN, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, aux_sym_join_type_token3, aux_sym_join_type_token4, aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [49993] = 4, + [115458] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1336), 1, - anon_sym_LPAREN, - ACTIONS(175), 14, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(173), 20, - anon_sym_EQ, + ACTIONS(3395), 1, anon_sym_COMMA, + STATE(1930), 1, + aux_sym_select_clause_body_repeat1, + ACTIONS(2808), 10, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_LBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [50038] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(369), 8, - aux_sym_create_function_parameter_token1, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(367), 27, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, + aux_sym_grant_statement_token12, aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, aux_sym_where_clause_token1, aux_sym_join_type_token1, aux_sym_join_type_token2, aux_sym_join_type_token3, aux_sym_join_type_token4, aux_sym_join_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [50081] = 5, - ACTIONS(3), 1, - sym_comment, - ACTIONS(1241), 1, - aux_sym_null_hint_token2, - ACTIONS(1340), 1, - aux_sym_sequence_token5, - STATE(725), 3, - sym_on_update_action, - sym_on_delete_action, - aux_sym_references_constraint_repeat1, - ACTIONS(1338), 30, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - aux_sym_null_hint_token3, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - [50128] = 4, + [115480] = 8, ACTIONS(3), 1, sym_comment, - ACTIONS(1342), 1, - anon_sym_DOT_STAR, - ACTIONS(267), 14, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + ACTIONS(368), 1, sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(265), 19, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, + ACTIONS(370), 1, anon_sym_BQUOTE, + ACTIONS(372), 1, anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [50172] = 3, + STATE(113), 1, + sym__quoted_identifier, + STATE(1185), 1, + sym_identifier, + STATE(2897), 2, + sym_dotted_name, + sym__identifier, + ACTIONS(3398), 4, + aux_sym_alter_table_token1, + aux_sym_sequence_token1, + aux_sym_create_schema_statement_token1, + aux_sym_grant_statement_token11, + [115509] = 8, ACTIONS(3), 1, sym_comment, - ACTIONS(73), 14, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + ACTIONS(368), 1, sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(71), 20, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, + ACTIONS(370), 1, anon_sym_BQUOTE, + ACTIONS(372), 1, anon_sym_DQUOTE, - anon_sym_LBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [50214] = 5, - ACTIONS(3), 1, - sym_comment, - ACTIONS(1344), 1, - anon_sym_DOT, - STATE(704), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(87), 7, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(85), 25, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_LBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [50260] = 3, + STATE(113), 1, + sym__quoted_identifier, + STATE(1185), 1, + sym_identifier, + STATE(2839), 2, + sym_dotted_name, + sym__identifier, + ACTIONS(3400), 4, + aux_sym_alter_table_token1, + aux_sym_sequence_token1, + aux_sym_create_schema_statement_token1, + aux_sym_grant_statement_token11, + [115538] = 10, ACTIONS(3), 1, sym_comment, - ACTIONS(203), 14, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + ACTIONS(368), 1, sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(201), 20, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, + ACTIONS(370), 1, anon_sym_BQUOTE, + ACTIONS(372), 1, anon_sym_DQUOTE, - anon_sym_COLON_COLON, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [50302] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(111), 8, - aux_sym_boolean_expression_token2, - anon_sym_DOT, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(109), 26, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_LBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [50344] = 3, - ACTIONS(3), 1, - sym_comment, - ACTIONS(111), 8, - aux_sym_create_function_parameter_token1, - anon_sym_DOT, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(109), 26, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_LPAREN, + ACTIONS(3402), 1, + aux_sym_with_clause_token1, + STATE(113), 1, + sym__quoted_identifier, + STATE(1185), 1, + sym_identifier, + STATE(2347), 1, + sym_op_class, + ACTIONS(3404), 2, anon_sym_COMMA, anon_sym_RPAREN, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_LBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - anon_sym_DOT_STAR, - [50386] = 5, + STATE(2270), 2, + sym_dotted_name, + sym__identifier, + [115571] = 9, ACTIONS(3), 1, sym_comment, - ACTIONS(1346), 1, - anon_sym_DOT, - STATE(694), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(87), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(85), 25, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_LBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [50432] = 3, + ACTIONS(3223), 1, + anon_sym_BQUOTE, + ACTIONS(3225), 1, + anon_sym_DQUOTE, + ACTIONS(3406), 1, + sym__unquoted_identifier, + STATE(1699), 1, + sym_identifier, + STATE(1914), 1, + sym__quoted_identifier, + STATE(2359), 1, + sym_constrained_type, + STATE(1217), 2, + sym_dotted_name, + sym__identifier, + STATE(1980), 3, + sym_type, + sym_array_type, + sym__type, + [115602] = 8, ACTIONS(3), 1, sym_comment, - ACTIONS(207), 14, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + ACTIONS(368), 1, sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(205), 20, - anon_sym_EQ, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + STATE(113), 1, + sym__quoted_identifier, + STATE(1185), 1, + sym_identifier, + STATE(2711), 2, + sym_dotted_name, + sym__identifier, + ACTIONS(3408), 4, + aux_sym_alter_table_token1, + aux_sym_sequence_token1, + aux_sym_create_schema_statement_token1, + aux_sym_grant_statement_token11, + [115631] = 11, + ACTIONS(3), 1, + sym_comment, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(1902), 1, anon_sym_COMMA, + ACTIONS(1906), 1, anon_sym_RPAREN, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(2190), 1, + sym_identifier, + STATE(2250), 1, + sym_op_class, + STATE(2271), 1, + aux_sym_index_table_parameters_repeat1, + STATE(2270), 2, + sym_dotted_name, + sym__identifier, + [115666] = 9, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3355), 1, anon_sym_BQUOTE, + ACTIONS(3357), 1, anon_sym_DQUOTE, - anon_sym_COLON_COLON, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [50474] = 3, + ACTIONS(3410), 1, + sym__unquoted_identifier, + STATE(1137), 1, + sym__quoted_identifier, + STATE(1396), 1, + sym_identifier, + STATE(1680), 1, + sym_constrained_type, + STATE(9), 2, + sym_dotted_name, + sym__identifier, + STATE(1578), 3, + sym_type, + sym_array_type, + sym__type, + [115697] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(249), 14, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + ACTIONS(3414), 1, + aux_sym_null_hint_token2, + ACTIONS(3416), 1, + aux_sym_grant_statement_token2, + STATE(1962), 1, + aux_sym_grant_statement_repeat1, + ACTIONS(3412), 8, + aux_sym_insert_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token7, + aux_sym_grant_statement_token8, + aux_sym_grant_statement_token9, + aux_sym_grant_statement_token10, + [115720] = 9, + ACTIONS(3), 1, + sym_comment, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(3418), 1, sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(247), 20, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, + STATE(287), 1, + sym__quoted_identifier, + STATE(1711), 1, + sym_identifier, + STATE(2442), 1, + sym_constrained_type, + STATE(1217), 2, + sym_dotted_name, + sym__identifier, + STATE(1991), 3, + sym_type, + sym_array_type, + sym__type, + [115751] = 8, + ACTIONS(3), 1, + sym_comment, + ACTIONS(855), 1, anon_sym_BQUOTE, + ACTIONS(857), 1, anon_sym_DQUOTE, - anon_sym_COLON_COLON, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [50516] = 4, + ACTIONS(3418), 1, + sym__unquoted_identifier, + STATE(287), 1, + sym__quoted_identifier, + STATE(1711), 1, + sym_identifier, + STATE(1217), 2, + sym_dotted_name, + sym__identifier, + STATE(1695), 3, + sym_type, + sym_array_type, + sym__type, + [115779] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(1348), 1, - anon_sym_LBRACK, - ACTIONS(193), 14, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + ACTIONS(3381), 1, + aux_sym_table_constraint_check_token1, + ACTIONS(3383), 1, + aux_sym_table_constraint_exclude_token1, + ACTIONS(3385), 1, + aux_sym_table_constraint_foreign_key_token1, + ACTIONS(3387), 1, + aux_sym_table_constraint_unique_token1, + ACTIONS(3389), 1, + aux_sym_table_constraint_primary_key_token1, + STATE(1708), 5, + sym_table_constraint_check, + sym_table_constraint_exclude, + sym_table_constraint_foreign_key, + sym_table_constraint_unique, + sym_table_constraint_primary_key, + [115805] = 8, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3420), 1, sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(191), 19, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, + ACTIONS(3422), 1, anon_sym_BQUOTE, + ACTIONS(3424), 1, anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [50560] = 3, + STATE(371), 1, + sym_identifier, + STATE(388), 1, + sym__quoted_identifier, + STATE(431), 2, + sym_dotted_name, + sym__identifier, + STATE(608), 3, + sym_type, + sym_array_type, + sym__type, + [115833] = 8, ACTIONS(3), 1, sym_comment, - ACTIONS(253), 14, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + ACTIONS(3426), 1, sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(251), 20, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, + ACTIONS(3428), 1, anon_sym_BQUOTE, + ACTIONS(3430), 1, anon_sym_DQUOTE, - anon_sym_COLON_COLON, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [50602] = 3, + STATE(1093), 1, + sym_identifier, + STATE(1113), 1, + sym__quoted_identifier, + STATE(1140), 2, + sym_dotted_name, + sym__identifier, + STATE(1328), 3, + sym_type, + sym_array_type, + sym__type, + [115861] = 8, ACTIONS(3), 1, sym_comment, - ACTIONS(199), 14, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + ACTIONS(3432), 1, sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(197), 20, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, + ACTIONS(3434), 1, anon_sym_BQUOTE, + ACTIONS(3436), 1, anon_sym_DQUOTE, - anon_sym_COLON_COLON, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [50644] = 3, + STATE(20), 1, + sym_identifier, + STATE(32), 1, + sym__quoted_identifier, + STATE(41), 2, + sym_dotted_name, + sym__identifier, + STATE(124), 3, + sym_type, + sym_array_type, + sym__type, + [115889] = 8, ACTIONS(3), 1, sym_comment, - ACTIONS(303), 14, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + ACTIONS(3438), 1, sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(301), 20, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, + ACTIONS(3440), 1, anon_sym_BQUOTE, + ACTIONS(3442), 1, anon_sym_DQUOTE, - anon_sym_LBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [50686] = 3, + STATE(438), 1, + sym_identifier, + STATE(481), 1, + sym__quoted_identifier, + STATE(501), 2, + sym_dotted_name, + sym__identifier, + STATE(954), 3, + sym_type, + sym_array_type, + sym__type, + [115917] = 8, ACTIONS(3), 1, sym_comment, - ACTIONS(183), 14, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + ACTIONS(3432), 1, sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(181), 20, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, + ACTIONS(3434), 1, anon_sym_BQUOTE, + ACTIONS(3436), 1, anon_sym_DQUOTE, - anon_sym_LBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [50728] = 4, + STATE(32), 1, + sym__quoted_identifier, + STATE(1566), 1, + sym_identifier, + STATE(1217), 2, + sym_dotted_name, + sym__identifier, + STATE(1210), 3, + sym_type, + sym_array_type, + sym__type, + [115945] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(1128), 1, - anon_sym_LBRACK, - ACTIONS(267), 14, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + ACTIONS(3383), 1, + aux_sym_table_constraint_exclude_token1, + ACTIONS(3385), 1, + aux_sym_table_constraint_foreign_key_token1, + ACTIONS(3387), 1, + aux_sym_table_constraint_unique_token1, + ACTIONS(3389), 1, + aux_sym_table_constraint_primary_key_token1, + ACTIONS(3444), 1, + aux_sym_table_constraint_check_token1, + STATE(1708), 5, + sym_table_constraint_check, + sym_table_constraint_exclude, + sym_table_constraint_foreign_key, + sym_table_constraint_unique, + sym_table_constraint_primary_key, + [115971] = 8, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3446), 1, sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(265), 19, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, + ACTIONS(3448), 1, anon_sym_BQUOTE, + ACTIONS(3450), 1, anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [50772] = 4, + STATE(119), 1, + sym_identifier, + STATE(153), 1, + sym__quoted_identifier, + STATE(226), 2, + sym_dotted_name, + sym__identifier, + STATE(304), 3, + sym_type, + sym_array_type, + sym__type, + [115999] = 8, ACTIONS(3), 1, sym_comment, - ACTIONS(1130), 1, - anon_sym_COLON_COLON, - ACTIONS(267), 14, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(265), 19, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, + ACTIONS(1467), 1, anon_sym_BQUOTE, + ACTIONS(1469), 1, anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [50816] = 3, + ACTIONS(3452), 1, + sym__unquoted_identifier, + STATE(1088), 1, + sym__quoted_identifier, + STATE(1104), 1, + sym_identifier, + STATE(1134), 2, + sym_dotted_name, + sym__identifier, + STATE(1354), 3, + sym_type, + sym_array_type, + sym__type, + [116027] = 8, ACTIONS(3), 1, sym_comment, - ACTIONS(355), 14, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + ACTIONS(3432), 1, sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(353), 19, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, + ACTIONS(3434), 1, anon_sym_BQUOTE, + ACTIONS(3436), 1, anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [50857] = 10, + STATE(32), 1, + sym__quoted_identifier, + STATE(1566), 1, + sym_identifier, + STATE(1217), 2, + sym_dotted_name, + sym__identifier, + STATE(95), 3, + sym_type, + sym_array_type, + sym__type, + [116055] = 8, ACTIONS(3), 1, sym_comment, - ACTIONS(1156), 1, - anon_sym_DASH, - ACTIONS(1160), 1, - anon_sym_CARET, - ACTIONS(1164), 1, - anon_sym_SLASH, - ACTIONS(1154), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(357), 4, - anon_sym_COMMA, - anon_sym_RPAREN, + ACTIONS(855), 1, anon_sym_BQUOTE, + ACTIONS(857), 1, anon_sym_DQUOTE, - ACTIONS(1158), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1162), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1140), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(359), 8, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + ACTIONS(3418), 1, sym__unquoted_identifier, - [50912] = 3, + STATE(287), 1, + sym__quoted_identifier, + STATE(295), 1, + sym_identifier, + STATE(322), 2, + sym_dotted_name, + sym__identifier, + STATE(471), 3, + sym_type, + sym_array_type, + sym__type, + [116083] = 8, ACTIONS(3), 1, sym_comment, - ACTIONS(267), 14, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + ACTIONS(3454), 1, sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(265), 19, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, + ACTIONS(3456), 1, + anon_sym_BQUOTE, + ACTIONS(3458), 1, + anon_sym_DQUOTE, + STATE(283), 1, + sym_identifier, + STATE(296), 1, + sym__quoted_identifier, + STATE(297), 2, + sym_dotted_name, + sym__identifier, + STATE(386), 3, + sym_type, + sym_array_type, + sym__type, + [116111] = 8, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3460), 1, + sym__unquoted_identifier, + ACTIONS(3462), 1, anon_sym_BQUOTE, + ACTIONS(3464), 1, anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [50953] = 3, + STATE(302), 1, + sym_identifier, + STATE(312), 1, + sym__quoted_identifier, + STATE(9), 2, + sym_dotted_name, + sym__identifier, + STATE(95), 3, + sym_type, + sym_array_type, + sym__type, + [116139] = 9, ACTIONS(3), 1, sym_comment, - ACTIONS(187), 14, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(185), 19, - anon_sym_EQ, + STATE(113), 1, + sym__quoted_identifier, + STATE(2190), 1, + sym_identifier, + STATE(2393), 1, + sym_op_class, + ACTIONS(1955), 2, anon_sym_COMMA, anon_sym_RPAREN, + STATE(2270), 2, + sym_dotted_name, + sym__identifier, + [116169] = 8, + ACTIONS(3), 1, + sym_comment, + ACTIONS(855), 1, anon_sym_BQUOTE, + ACTIONS(857), 1, anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [50994] = 3, + ACTIONS(3418), 1, + sym__unquoted_identifier, + STATE(287), 1, + sym__quoted_identifier, + STATE(1711), 1, + sym_identifier, + STATE(1217), 2, + sym_dotted_name, + sym__identifier, + STATE(1911), 3, + sym_type, + sym_array_type, + sym__type, + [116197] = 8, ACTIONS(3), 1, sym_comment, - ACTIONS(331), 14, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + ACTIONS(3466), 1, sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(329), 19, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, + ACTIONS(3468), 1, anon_sym_BQUOTE, + ACTIONS(3470), 1, anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [51035] = 3, + STATE(11), 1, + sym_identifier, + STATE(15), 1, + sym__quoted_identifier, + STATE(29), 2, + sym_dotted_name, + sym__identifier, + STATE(76), 3, + sym_type, + sym_array_type, + sym__type, + [116225] = 8, ACTIONS(3), 1, sym_comment, - ACTIONS(327), 14, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + ACTIONS(3355), 1, + anon_sym_BQUOTE, + ACTIONS(3357), 1, + anon_sym_DQUOTE, + ACTIONS(3410), 1, sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(325), 19, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, + STATE(1137), 1, + sym__quoted_identifier, + STATE(1661), 1, + sym_identifier, + STATE(1217), 2, + sym_dotted_name, + sym__identifier, + STATE(1696), 3, + sym_type, + sym_array_type, + sym__type, + [116253] = 8, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3472), 1, + sym__unquoted_identifier, + ACTIONS(3474), 1, anon_sym_BQUOTE, + ACTIONS(3476), 1, anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [51076] = 3, + STATE(395), 1, + sym_identifier, + STATE(442), 1, + sym__quoted_identifier, + STATE(455), 2, + sym_dotted_name, + sym__identifier, + STATE(876), 3, + sym_type, + sym_array_type, + sym__type, + [116281] = 8, ACTIONS(3), 1, sym_comment, - ACTIONS(369), 14, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + ACTIONS(3478), 1, sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(367), 19, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, + ACTIONS(3480), 1, anon_sym_BQUOTE, + ACTIONS(3482), 1, anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [51117] = 3, + STATE(1064), 1, + sym_identifier, + STATE(1078), 1, + sym__quoted_identifier, + STATE(1096), 2, + sym_dotted_name, + sym__identifier, + STATE(1221), 3, + sym_type, + sym_array_type, + sym__type, + [116309] = 8, ACTIONS(3), 1, sym_comment, - ACTIONS(405), 14, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + ACTIONS(1740), 1, + anon_sym_BQUOTE, + ACTIONS(1742), 1, + anon_sym_DQUOTE, + ACTIONS(3484), 1, sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(403), 19, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, + STATE(629), 1, + sym__quoted_identifier, + STATE(868), 1, + sym_identifier, + STATE(991), 2, + sym_dotted_name, + sym__identifier, + STATE(1119), 3, + sym_type, + sym_array_type, + sym__type, + [116337] = 8, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1585), 1, anon_sym_BQUOTE, + ACTIONS(1587), 1, anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [51158] = 3, + ACTIONS(3486), 1, + sym__unquoted_identifier, + STATE(1105), 1, + sym__quoted_identifier, + STATE(1107), 1, + sym_identifier, + STATE(1167), 2, + sym_dotted_name, + sym__identifier, + STATE(1398), 3, + sym_type, + sym_array_type, + sym__type, + [116365] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(409), 14, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + ACTIONS(3490), 1, + aux_sym_null_hint_token2, + STATE(1965), 1, + aux_sym_grant_statement_repeat1, + ACTIONS(3488), 8, + aux_sym_insert_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token7, + aux_sym_grant_statement_token8, + aux_sym_grant_statement_token9, + aux_sym_grant_statement_token10, + [116385] = 8, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3492), 1, sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(407), 19, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, + ACTIONS(3494), 1, anon_sym_BQUOTE, + ACTIONS(3496), 1, anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [51199] = 3, + STATE(12), 1, + sym_identifier, + STATE(30), 1, + sym__quoted_identifier, + STATE(43), 2, + sym_dotted_name, + sym__identifier, + STATE(123), 3, + sym_type, + sym_array_type, + sym__type, + [116413] = 8, ACTIONS(3), 1, sym_comment, - ACTIONS(413), 14, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + ACTIONS(3498), 1, sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(411), 19, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, + ACTIONS(3500), 1, anon_sym_BQUOTE, + ACTIONS(3502), 1, anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [51240] = 3, + STATE(1054), 1, + sym_identifier, + STATE(1084), 1, + sym__quoted_identifier, + STATE(1095), 2, + sym_dotted_name, + sym__identifier, + STATE(1222), 3, + sym_type, + sym_array_type, + sym__type, + [116441] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(399), 14, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + ACTIONS(3507), 1, + aux_sym_null_hint_token2, + STATE(1965), 1, + aux_sym_grant_statement_repeat1, + ACTIONS(3504), 8, + aux_sym_insert_statement_token1, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + aux_sym_grant_statement_token7, + aux_sym_grant_statement_token8, + aux_sym_grant_statement_token9, + aux_sym_grant_statement_token10, + [116461] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3509), 1, + aux_sym_alter_statement_token1, + ACTIONS(3511), 1, + aux_sym_alter_table_action_alter_column_token2, + ACTIONS(3513), 1, + aux_sym_alter_table_action_add_token1, + ACTIONS(3515), 1, + aux_sym_alter_table_rename_column_token1, + STATE(1840), 2, + sym_alter_table_rename_column, + sym_alter_table_action, + STATE(1839), 3, + sym_alter_table_action_alter_column, + sym_alter_table_action_add, + sym_alter_table_action_set, + [116486] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3517), 1, + aux_sym_cte_token2, + ACTIONS(3519), 1, + aux_sym_null_hint_token3, + ACTIONS(3521), 1, + aux_sym_distinct_from_token1, + ACTIONS(3523), 1, + aux_sym_TRUE_token1, + ACTIONS(3525), 1, + aux_sym_FALSE_token1, + STATE(1200), 4, + sym_distinct_from, + sym_NULL, + sym_TRUE, + sym_FALSE, + [116511] = 9, + ACTIONS(3), 1, + sym_comment, + ACTIONS(368), 1, sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(397), 19, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, + ACTIONS(370), 1, anon_sym_BQUOTE, + ACTIONS(372), 1, anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [51281] = 3, + ACTIONS(3527), 1, + aux_sym_alter_table_token2, + ACTIONS(3529), 1, + aux_sym_alter_table_token4, + STATE(113), 1, + sym__quoted_identifier, + STATE(1185), 1, + sym_identifier, + STATE(1966), 2, + sym_dotted_name, + sym__identifier, + [116540] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3531), 1, + aux_sym_cte_token2, + ACTIONS(3533), 1, + aux_sym_null_hint_token3, + ACTIONS(3535), 1, + aux_sym_distinct_from_token1, + ACTIONS(3537), 1, + aux_sym_TRUE_token1, + ACTIONS(3539), 1, + aux_sym_FALSE_token1, + STATE(1319), 4, + sym_distinct_from, + sym_NULL, + sym_TRUE, + sym_FALSE, + [116565] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3541), 1, + aux_sym_cte_token2, + ACTIONS(3543), 1, + aux_sym_null_hint_token3, + ACTIONS(3545), 1, + aux_sym_distinct_from_token1, + ACTIONS(3547), 1, + aux_sym_TRUE_token1, + ACTIONS(3549), 1, + aux_sym_FALSE_token1, + STATE(1018), 4, + sym_distinct_from, + sym_NULL, + sym_TRUE, + sym_FALSE, + [116590] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3509), 1, + aux_sym_alter_statement_token1, + ACTIONS(3511), 1, + aux_sym_alter_table_action_alter_column_token2, + ACTIONS(3513), 1, + aux_sym_alter_table_action_add_token1, + ACTIONS(3515), 1, + aux_sym_alter_table_rename_column_token1, + STATE(1871), 2, + sym_alter_table_rename_column, + sym_alter_table_action, + STATE(1839), 3, + sym_alter_table_action_alter_column, + sym_alter_table_action_add, + sym_alter_table_action_set, + [116615] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3551), 1, + aux_sym_cte_token2, + ACTIONS(3553), 1, + aux_sym_null_hint_token3, + ACTIONS(3555), 1, + aux_sym_distinct_from_token1, + ACTIONS(3557), 1, + aux_sym_TRUE_token1, + ACTIONS(3559), 1, + aux_sym_FALSE_token1, + STATE(1455), 4, + sym_distinct_from, + sym_NULL, + sym_TRUE, + sym_FALSE, + [116640] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2166), 1, + aux_sym_null_hint_token3, + ACTIONS(3561), 1, + aux_sym_cte_token2, + ACTIONS(3563), 1, + aux_sym_distinct_from_token1, + ACTIONS(3565), 1, + aux_sym_TRUE_token1, + ACTIONS(3567), 1, + aux_sym_FALSE_token1, + STATE(243), 4, + sym_distinct_from, + sym_NULL, + sym_TRUE, + sym_FALSE, + [116665] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3569), 1, + aux_sym_cte_token2, + ACTIONS(3571), 1, + aux_sym_null_hint_token3, + ACTIONS(3573), 1, + aux_sym_distinct_from_token1, + ACTIONS(3575), 1, + aux_sym_TRUE_token1, + ACTIONS(3577), 1, + aux_sym_FALSE_token1, + STATE(1437), 4, + sym_distinct_from, + sym_NULL, + sym_TRUE, + sym_FALSE, + [116690] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3579), 1, + aux_sym_cte_token2, + ACTIONS(3581), 1, + aux_sym_null_hint_token3, + ACTIONS(3583), 1, + aux_sym_distinct_from_token1, + ACTIONS(3585), 1, + aux_sym_TRUE_token1, + ACTIONS(3587), 1, + aux_sym_FALSE_token1, + STATE(1063), 4, + sym_distinct_from, + sym_NULL, + sym_TRUE, + sym_FALSE, + [116715] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3589), 1, + aux_sym_cte_token2, + ACTIONS(3591), 1, + aux_sym_null_hint_token3, + ACTIONS(3593), 1, + aux_sym_distinct_from_token1, + ACTIONS(3595), 1, + aux_sym_TRUE_token1, + ACTIONS(3597), 1, + aux_sym_FALSE_token1, + STATE(223), 4, + sym_distinct_from, + sym_NULL, + sym_TRUE, + sym_FALSE, + [116740] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2569), 1, + aux_sym_null_hint_token3, + ACTIONS(3599), 1, + aux_sym_cte_token2, + ACTIONS(3601), 1, + aux_sym_distinct_from_token1, + ACTIONS(3603), 1, + aux_sym_TRUE_token1, + ACTIONS(3605), 1, + aux_sym_FALSE_token1, + STATE(591), 4, + sym_distinct_from, + sym_NULL, + sym_TRUE, + sym_FALSE, + [116765] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3607), 1, + aux_sym_cte_token2, + ACTIONS(3609), 1, + aux_sym_null_hint_token3, + ACTIONS(3611), 1, + aux_sym_distinct_from_token1, + ACTIONS(3613), 1, + aux_sym_TRUE_token1, + ACTIONS(3615), 1, + aux_sym_FALSE_token1, + STATE(1294), 4, + sym_distinct_from, + sym_NULL, + sym_TRUE, + sym_FALSE, + [116790] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3509), 1, + aux_sym_alter_statement_token1, + ACTIONS(3511), 1, + aux_sym_alter_table_action_alter_column_token2, + ACTIONS(3513), 1, + aux_sym_alter_table_action_add_token1, + ACTIONS(3515), 1, + aux_sym_alter_table_rename_column_token1, + STATE(1883), 2, + sym_alter_table_rename_column, + sym_alter_table_action, + STATE(1839), 3, + sym_alter_table_action_alter_column, + sym_alter_table_action_add, + sym_alter_table_action_set, + [116815] = 9, ACTIONS(3), 1, sym_comment, - ACTIONS(377), 14, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(375), 19, + ACTIONS(346), 1, + anon_sym_LBRACK, + ACTIONS(3619), 1, + aux_sym_cte_token2, + ACTIONS(3621), 1, + aux_sym_null_hint_token3, + ACTIONS(3623), 1, anon_sym_EQ, + STATE(79), 1, + aux_sym_array_type_repeat1, + STATE(1660), 1, + sym_null_constraint, + STATE(1666), 1, + sym_NULL, + ACTIONS(3617), 2, anon_sym_COMMA, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [51322] = 3, + [116844] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(335), 14, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(333), 19, + ACTIONS(3625), 1, + aux_sym_cte_token2, + ACTIONS(3627), 1, + aux_sym_null_hint_token3, + ACTIONS(3629), 1, + aux_sym_distinct_from_token1, + ACTIONS(3631), 1, + aux_sym_TRUE_token1, + ACTIONS(3633), 1, + aux_sym_FALSE_token1, + STATE(717), 4, + sym_distinct_from, + sym_NULL, + sym_TRUE, + sym_FALSE, + [116869] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3635), 1, + aux_sym_cte_token2, + ACTIONS(3637), 1, + aux_sym_null_hint_token3, + ACTIONS(3639), 1, + aux_sym_distinct_from_token1, + ACTIONS(3641), 1, + aux_sym_TRUE_token1, + ACTIONS(3643), 1, + aux_sym_FALSE_token1, + STATE(352), 4, + sym_distinct_from, + sym_NULL, + sym_TRUE, + sym_FALSE, + [116894] = 9, + ACTIONS(3), 1, + sym_comment, + ACTIONS(346), 1, + anon_sym_LBRACK, + ACTIONS(3619), 1, + aux_sym_cte_token2, + ACTIONS(3621), 1, + aux_sym_null_hint_token3, + ACTIONS(3647), 1, anon_sym_EQ, + STATE(79), 1, + aux_sym_array_type_repeat1, + STATE(1660), 1, + sym_null_constraint, + STATE(1666), 1, + sym_NULL, + ACTIONS(3645), 2, anon_sym_COMMA, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [51363] = 3, + [116923] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(343), 14, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(341), 19, + ACTIONS(3621), 1, + aux_sym_null_hint_token3, + ACTIONS(3649), 1, + aux_sym_cte_token2, + ACTIONS(3651), 1, + aux_sym_distinct_from_token1, + ACTIONS(3653), 1, + aux_sym_TRUE_token1, + ACTIONS(3655), 1, + aux_sym_FALSE_token1, + STATE(178), 4, + sym_distinct_from, + sym_NULL, + sym_TRUE, + sym_FALSE, + [116948] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3657), 1, + aux_sym_cte_token2, + ACTIONS(3659), 1, + aux_sym_null_hint_token3, + ACTIONS(3661), 1, + aux_sym_distinct_from_token1, + ACTIONS(3663), 1, + aux_sym_TRUE_token1, + ACTIONS(3665), 1, + aux_sym_FALSE_token1, + STATE(487), 4, + sym_distinct_from, + sym_NULL, + sym_TRUE, + sym_FALSE, + [116973] = 9, + ACTIONS(3), 1, + sym_comment, + ACTIONS(346), 1, + anon_sym_LBRACK, + ACTIONS(3619), 1, + aux_sym_cte_token2, + ACTIONS(3621), 1, + aux_sym_null_hint_token3, + ACTIONS(3669), 1, anon_sym_EQ, + STATE(79), 1, + aux_sym_array_type_repeat1, + STATE(1660), 1, + sym_null_constraint, + STATE(1666), 1, + sym_NULL, + ACTIONS(3667), 2, anon_sym_COMMA, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [51404] = 3, + [117002] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(299), 14, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(297), 19, + ACTIONS(3509), 1, + aux_sym_alter_statement_token1, + ACTIONS(3511), 1, + aux_sym_alter_table_action_alter_column_token2, + ACTIONS(3513), 1, + aux_sym_alter_table_action_add_token1, + ACTIONS(3515), 1, + aux_sym_alter_table_rename_column_token1, + STATE(1865), 2, + sym_alter_table_rename_column, + sym_alter_table_action, + STATE(1839), 3, + sym_alter_table_action_alter_column, + sym_alter_table_action_add, + sym_alter_table_action_set, + [117027] = 9, + ACTIONS(3), 1, + sym_comment, + ACTIONS(346), 1, + anon_sym_LBRACK, + ACTIONS(3619), 1, + aux_sym_cte_token2, + ACTIONS(3621), 1, + aux_sym_null_hint_token3, + ACTIONS(3673), 1, anon_sym_EQ, + STATE(79), 1, + aux_sym_array_type_repeat1, + STATE(1660), 1, + sym_null_constraint, + STATE(1666), 1, + sym_NULL, + ACTIONS(3671), 2, anon_sym_COMMA, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [51445] = 8, + [117056] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(1156), 1, - anon_sym_DASH, - ACTIONS(1160), 1, - anon_sym_CARET, - ACTIONS(1164), 1, - anon_sym_SLASH, - ACTIONS(1154), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1162), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(311), 10, - anon_sym_EQ, + ACTIONS(3675), 1, + aux_sym_cte_token2, + ACTIONS(3677), 1, + aux_sym_null_hint_token3, + ACTIONS(3679), 1, + aux_sym_distinct_from_token1, + ACTIONS(3681), 1, + aux_sym_TRUE_token1, + ACTIONS(3683), 1, + aux_sym_FALSE_token1, + STATE(1529), 4, + sym_distinct_from, + sym_NULL, + sym_TRUE, + sym_FALSE, + [117081] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2861), 1, + aux_sym_null_hint_token3, + ACTIONS(3685), 1, + aux_sym_cte_token2, + ACTIONS(3687), 1, + aux_sym_distinct_from_token1, + ACTIONS(3689), 1, + aux_sym_TRUE_token1, + ACTIONS(3691), 1, + aux_sym_FALSE_token1, + STATE(578), 4, + sym_distinct_from, + sym_NULL, + sym_TRUE, + sym_FALSE, + [117106] = 8, + ACTIONS(3), 1, + sym_comment, + ACTIONS(346), 1, + anon_sym_LBRACK, + ACTIONS(3619), 1, + aux_sym_cte_token2, + ACTIONS(3621), 1, + aux_sym_null_hint_token3, + STATE(79), 1, + aux_sym_array_type_repeat1, + STATE(1660), 1, + sym_null_constraint, + STATE(1666), 1, + sym_NULL, + ACTIONS(3693), 2, anon_sym_COMMA, anon_sym_RPAREN, + [117132] = 8, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3058), 1, anon_sym_BQUOTE, + ACTIONS(3060), 1, anon_sym_DQUOTE, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(313), 12, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + ACTIONS(3695), 1, sym__unquoted_identifier, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [51496] = 3, + STATE(1881), 1, + sym_table_column, + STATE(2143), 1, + sym_identifier, + STATE(2237), 1, + sym__quoted_identifier, + STATE(1946), 2, + sym_dotted_name, + sym__identifier, + [117158] = 8, ACTIONS(3), 1, sym_comment, - ACTIONS(339), 14, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + ACTIONS(368), 1, sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(337), 19, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, + ACTIONS(370), 1, anon_sym_BQUOTE, + ACTIONS(372), 1, anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [51537] = 15, + ACTIONS(3697), 1, + aux_sym_alter_table_token2, + STATE(113), 1, + sym__quoted_identifier, + STATE(1185), 1, + sym_identifier, + STATE(2292), 2, + sym_dotted_name, + sym__identifier, + [117184] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(1136), 1, - aux_sym_sequence_token2, - ACTIONS(1138), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1148), 1, - aux_sym_is_expression_token1, - ACTIONS(1150), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1152), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1156), 1, - anon_sym_DASH, - ACTIONS(1160), 1, - anon_sym_CARET, - ACTIONS(1164), 1, - anon_sym_SLASH, - ACTIONS(387), 3, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - sym__unquoted_identifier, - ACTIONS(1154), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(383), 4, - anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - ACTIONS(1158), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1162), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1140), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [51602] = 11, + ACTIONS(3637), 1, + aux_sym_null_hint_token3, + ACTIONS(3639), 1, + aux_sym_distinct_from_token1, + ACTIONS(3641), 1, + aux_sym_TRUE_token1, + ACTIONS(3643), 1, + aux_sym_FALSE_token1, + STATE(363), 4, + sym_distinct_from, + sym_NULL, + sym_TRUE, + sym_FALSE, + [117206] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(1150), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1156), 1, - anon_sym_DASH, - ACTIONS(1160), 1, - anon_sym_CARET, - ACTIONS(1164), 1, - anon_sym_SLASH, - ACTIONS(1154), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(357), 4, - anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - ACTIONS(1158), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1162), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1140), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(359), 7, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - [51659] = 6, + ACTIONS(2861), 1, + aux_sym_null_hint_token3, + ACTIONS(3687), 1, + aux_sym_distinct_from_token1, + ACTIONS(3689), 1, + aux_sym_TRUE_token1, + ACTIONS(3691), 1, + aux_sym_FALSE_token1, + STATE(544), 4, + sym_distinct_from, + sym_NULL, + sym_TRUE, + sym_FALSE, + [117228] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(1160), 1, - anon_sym_CARET, - ACTIONS(1164), 1, - anon_sym_SLASH, - ACTIONS(1162), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(311), 13, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, + ACTIONS(3543), 1, + aux_sym_null_hint_token3, + ACTIONS(3545), 1, + aux_sym_distinct_from_token1, + ACTIONS(3547), 1, + aux_sym_TRUE_token1, + ACTIONS(3549), 1, + aux_sym_FALSE_token1, + STATE(1028), 4, + sym_distinct_from, + sym_NULL, + sym_TRUE, + sym_FALSE, + [117250] = 8, + ACTIONS(3), 1, + sym_comment, + ACTIONS(368), 1, + sym__unquoted_identifier, + ACTIONS(370), 1, anon_sym_BQUOTE, + ACTIONS(372), 1, anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(313), 13, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - [51706] = 3, + ACTIONS(3699), 1, + aux_sym_alter_table_token4, + STATE(113), 1, + sym__quoted_identifier, + STATE(1185), 1, + sym_identifier, + STATE(1979), 2, + sym_dotted_name, + sym__identifier, + [117276] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(313), 14, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + ACTIONS(3609), 1, + aux_sym_null_hint_token3, + ACTIONS(3611), 1, + aux_sym_distinct_from_token1, + ACTIONS(3613), 1, + aux_sym_TRUE_token1, + ACTIONS(3615), 1, + aux_sym_FALSE_token1, + STATE(1283), 4, + sym_distinct_from, + sym_NULL, + sym_TRUE, + sym_FALSE, + [117298] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3701), 1, + anon_sym_DOT, + STATE(2010), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(115), 2, + aux_sym_with_clause_token1, sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(311), 19, - anon_sym_EQ, + ACTIONS(113), 4, anon_sym_COMMA, anon_sym_RPAREN, anon_sym_BQUOTE, anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [51747] = 3, + [117318] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(381), 14, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + ACTIONS(3581), 1, + aux_sym_null_hint_token3, + ACTIONS(3583), 1, + aux_sym_distinct_from_token1, + ACTIONS(3585), 1, + aux_sym_TRUE_token1, + ACTIONS(3587), 1, + aux_sym_FALSE_token1, + STATE(1061), 4, + sym_distinct_from, + sym_NULL, + sym_TRUE, + sym_FALSE, + [117340] = 8, + ACTIONS(3), 1, + sym_comment, + ACTIONS(368), 1, sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(379), 19, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, + ACTIONS(370), 1, anon_sym_BQUOTE, + ACTIONS(372), 1, anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [51788] = 4, + ACTIONS(3703), 1, + aux_sym_alter_table_token2, + STATE(113), 1, + sym__quoted_identifier, + STATE(1185), 1, + sym_identifier, + STATE(1707), 2, + sym_dotted_name, + sym__identifier, + [117366] = 8, + ACTIONS(3), 1, + sym_comment, + ACTIONS(13), 1, + aux_sym_with_clause_token1, + ACTIONS(3361), 1, + aux_sym_grant_statement_token4, + ACTIONS(3705), 1, + aux_sym_values_clause_token1, + STATE(1769), 1, + sym_select_clause, + STATE(1823), 1, + sym__select_statement, + STATE(2350), 1, + sym_with_clause, + STATE(1810), 2, + sym_select_statement, + sym_values_clause, + [117392] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3659), 1, + aux_sym_null_hint_token3, + ACTIONS(3661), 1, + aux_sym_distinct_from_token1, + ACTIONS(3663), 1, + aux_sym_TRUE_token1, + ACTIONS(3665), 1, + aux_sym_FALSE_token1, + STATE(456), 4, + sym_distinct_from, + sym_NULL, + sym_TRUE, + sym_FALSE, + [117414] = 8, ACTIONS(3), 1, sym_comment, - ACTIONS(1160), 1, - anon_sym_CARET, - ACTIONS(313), 14, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + ACTIONS(3707), 1, sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(311), 18, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, + ACTIONS(3709), 1, anon_sym_BQUOTE, + ACTIONS(3711), 1, anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [51831] = 3, + STATE(2005), 1, + sym_identifier, + STATE(2044), 1, + sym__quoted_identifier, + STATE(2336), 1, + sym_exclude_entry, + STATE(1933), 2, + sym_dotted_name, + sym__identifier, + [117440] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(373), 14, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + ACTIONS(3701), 1, + anon_sym_DOT, + STATE(1999), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(121), 2, + aux_sym_with_clause_token1, sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(371), 19, - anon_sym_EQ, + ACTIONS(119), 4, anon_sym_COMMA, anon_sym_RPAREN, anon_sym_BQUOTE, anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [51872] = 3, + [117460] = 8, ACTIONS(3), 1, sym_comment, - ACTIONS(319), 14, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(317), 19, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, + ACTIONS(3355), 1, anon_sym_BQUOTE, + ACTIONS(3357), 1, anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [51913] = 3, + ACTIONS(3410), 1, + sym__unquoted_identifier, + STATE(1137), 1, + sym__quoted_identifier, + STATE(1661), 1, + sym_identifier, + STATE(1724), 1, + sym_type, + STATE(1217), 2, + sym_dotted_name, + sym__identifier, + [117486] = 8, ACTIONS(3), 1, sym_comment, - ACTIONS(323), 14, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, + ACTIONS(368), 1, sym__unquoted_identifier, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(321), 19, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, + ACTIONS(370), 1, anon_sym_BQUOTE, + ACTIONS(372), 1, anon_sym_DQUOTE, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [51954] = 10, + ACTIONS(3713), 1, + aux_sym_alter_table_token2, + STATE(113), 1, + sym__quoted_identifier, + STATE(1185), 1, + sym_identifier, + STATE(2288), 2, + sym_dotted_name, + sym__identifier, + [117512] = 8, ACTIONS(3), 1, sym_comment, - ACTIONS(1352), 1, - aux_sym_sequence_token3, - ACTIONS(1354), 1, - aux_sym_create_function_statement_token3, - ACTIONS(1360), 1, - aux_sym_null_hint_token1, - ACTIONS(1362), 1, - aux_sym_null_hint_token5, - ACTIONS(1364), 1, - aux_sym__function_language_token1, - ACTIONS(1356), 3, - aux_sym_optimizer_hint_token1, - aux_sym_optimizer_hint_token2, - aux_sym_optimizer_hint_token3, - ACTIONS(1358), 4, - aux_sym_parallel_hint_token1, - aux_sym_parallel_hint_token2, - aux_sym_parallel_hint_token3, - aux_sym_parallel_hint_token4, - STATE(783), 6, - sym_optimizer_hint, - sym_parallel_hint, - sym_null_hint, - sym__function_language, - sym_function_body, - aux_sym_create_function_statement_repeat1, - ACTIONS(1350), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [52008] = 3, + ACTIONS(368), 1, + sym__unquoted_identifier, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3715), 1, + aux_sym_alter_table_token2, + STATE(113), 1, + sym__quoted_identifier, + STATE(1185), 1, + sym_identifier, + STATE(1887), 2, + sym_dotted_name, + sym__identifier, + [117538] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(1368), 1, - aux_sym_sequence_token5, - ACTIONS(1366), 31, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - aux_sym_null_hint_token2, + ACTIONS(2569), 1, aux_sym_null_hint_token3, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - [52048] = 3, + ACTIONS(3601), 1, + aux_sym_distinct_from_token1, + ACTIONS(3603), 1, + aux_sym_TRUE_token1, + ACTIONS(3605), 1, + aux_sym_FALSE_token1, + STATE(596), 4, + sym_distinct_from, + sym_NULL, + sym_TRUE, + sym_FALSE, + [117560] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(1372), 1, - aux_sym_sequence_token5, - ACTIONS(1370), 31, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - aux_sym_null_hint_token2, - aux_sym_null_hint_token3, + ACTIONS(3717), 1, + anon_sym_DOT, + STATE(2010), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(94), 2, + aux_sym_with_clause_token1, + sym__unquoted_identifier, + ACTIONS(92), 4, anon_sym_COMMA, anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - [52088] = 4, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + [117580] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(1374), 1, - anon_sym_LPAREN, - ACTIONS(175), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(173), 24, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_LBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [52130] = 10, + ACTIONS(3591), 1, + aux_sym_null_hint_token3, + ACTIONS(3593), 1, + aux_sym_distinct_from_token1, + ACTIONS(3595), 1, + aux_sym_TRUE_token1, + ACTIONS(3597), 1, + aux_sym_FALSE_token1, + STATE(264), 4, + sym_distinct_from, + sym_NULL, + sym_TRUE, + sym_FALSE, + [117602] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(1352), 1, - aux_sym_sequence_token3, - ACTIONS(1354), 1, - aux_sym_create_function_statement_token3, - ACTIONS(1360), 1, - aux_sym_null_hint_token1, - ACTIONS(1362), 1, - aux_sym_null_hint_token5, - ACTIONS(1364), 1, - aux_sym__function_language_token1, - ACTIONS(1356), 3, - aux_sym_optimizer_hint_token1, - aux_sym_optimizer_hint_token2, - aux_sym_optimizer_hint_token3, - ACTIONS(1358), 4, - aux_sym_parallel_hint_token1, - aux_sym_parallel_hint_token2, - aux_sym_parallel_hint_token3, - aux_sym_parallel_hint_token4, - STATE(783), 6, - sym_optimizer_hint, - sym_parallel_hint, - sym_null_hint, - sym__function_language, - sym_function_body, - aux_sym_create_function_statement_repeat1, - ACTIONS(1376), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [52184] = 10, + ACTIONS(3533), 1, + aux_sym_null_hint_token3, + ACTIONS(3535), 1, + aux_sym_distinct_from_token1, + ACTIONS(3537), 1, + aux_sym_TRUE_token1, + ACTIONS(3539), 1, + aux_sym_FALSE_token1, + STATE(1306), 4, + sym_distinct_from, + sym_NULL, + sym_TRUE, + sym_FALSE, + [117624] = 8, ACTIONS(3), 1, sym_comment, - ACTIONS(1352), 1, - aux_sym_sequence_token3, - ACTIONS(1354), 1, - aux_sym_create_function_statement_token3, - ACTIONS(1360), 1, - aux_sym_null_hint_token1, - ACTIONS(1362), 1, - aux_sym_null_hint_token5, - ACTIONS(1364), 1, - aux_sym__function_language_token1, - ACTIONS(1356), 3, - aux_sym_optimizer_hint_token1, - aux_sym_optimizer_hint_token2, - aux_sym_optimizer_hint_token3, - ACTIONS(1358), 4, - aux_sym_parallel_hint_token1, - aux_sym_parallel_hint_token2, - aux_sym_parallel_hint_token3, - aux_sym_parallel_hint_token4, - STATE(779), 6, - sym_optimizer_hint, - sym_parallel_hint, - sym_null_hint, - sym__function_language, - sym_function_body, - aux_sym_create_function_statement_repeat1, - ACTIONS(1378), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [52238] = 3, + ACTIONS(368), 1, + sym__unquoted_identifier, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3720), 1, + aux_sym_alter_table_token2, + STATE(113), 1, + sym__quoted_identifier, + STATE(1185), 1, + sym_identifier, + STATE(1851), 2, + sym_dotted_name, + sym__identifier, + [117650] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(1382), 1, - aux_sym_sequence_token5, - ACTIONS(1380), 31, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - aux_sym_null_hint_token2, + ACTIONS(3571), 1, aux_sym_null_hint_token3, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - [52278] = 4, + ACTIONS(3573), 1, + aux_sym_distinct_from_token1, + ACTIONS(3575), 1, + aux_sym_TRUE_token1, + ACTIONS(3577), 1, + aux_sym_FALSE_token1, + STATE(1424), 4, + sym_distinct_from, + sym_NULL, + sym_TRUE, + sym_FALSE, + [117672] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(1384), 1, - anon_sym_LPAREN, - ACTIONS(175), 7, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(173), 24, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_LBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [52320] = 10, + ACTIONS(3553), 1, + aux_sym_null_hint_token3, + ACTIONS(3555), 1, + aux_sym_distinct_from_token1, + ACTIONS(3557), 1, + aux_sym_TRUE_token1, + ACTIONS(3559), 1, + aux_sym_FALSE_token1, + STATE(1421), 4, + sym_distinct_from, + sym_NULL, + sym_TRUE, + sym_FALSE, + [117694] = 8, ACTIONS(3), 1, sym_comment, - ACTIONS(1388), 1, - aux_sym_sequence_token3, - ACTIONS(1391), 1, - aux_sym_create_function_statement_token3, - ACTIONS(1400), 1, - aux_sym_null_hint_token1, - ACTIONS(1403), 1, - aux_sym_null_hint_token5, - ACTIONS(1406), 1, - aux_sym__function_language_token1, - ACTIONS(1394), 3, - aux_sym_optimizer_hint_token1, - aux_sym_optimizer_hint_token2, - aux_sym_optimizer_hint_token3, - ACTIONS(1397), 4, - aux_sym_parallel_hint_token1, - aux_sym_parallel_hint_token2, - aux_sym_parallel_hint_token3, - aux_sym_parallel_hint_token4, - STATE(783), 6, - sym_optimizer_hint, - sym_parallel_hint, - sym_null_hint, - sym__function_language, - sym_function_body, - aux_sym_create_function_statement_repeat1, - ACTIONS(1386), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, + ACTIONS(13), 1, + aux_sym_with_clause_token1, + ACTIONS(29), 1, aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [52374] = 10, + ACTIONS(3705), 1, + aux_sym_values_clause_token1, + STATE(1592), 1, + sym_select_clause, + STATE(1823), 1, + sym__select_statement, + STATE(2328), 1, + sym_with_clause, + STATE(1810), 2, + sym_select_statement, + sym_values_clause, + [117720] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(1352), 1, - aux_sym_sequence_token3, - ACTIONS(1354), 1, - aux_sym_create_function_statement_token3, - ACTIONS(1360), 1, - aux_sym_null_hint_token1, - ACTIONS(1362), 1, - aux_sym_null_hint_token5, - ACTIONS(1364), 1, - aux_sym__function_language_token1, - ACTIONS(1356), 3, - aux_sym_optimizer_hint_token1, - aux_sym_optimizer_hint_token2, - aux_sym_optimizer_hint_token3, - ACTIONS(1358), 4, - aux_sym_parallel_hint_token1, - aux_sym_parallel_hint_token2, - aux_sym_parallel_hint_token3, - aux_sym_parallel_hint_token4, - STATE(775), 6, - sym_optimizer_hint, - sym_parallel_hint, - sym_null_hint, - sym__function_language, - sym_function_body, - aux_sym_create_function_statement_repeat1, - ACTIONS(1409), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [52428] = 4, + ACTIONS(3621), 1, + aux_sym_null_hint_token3, + ACTIONS(3651), 1, + aux_sym_distinct_from_token1, + ACTIONS(3653), 1, + aux_sym_TRUE_token1, + ACTIONS(3655), 1, + aux_sym_FALSE_token1, + STATE(158), 4, + sym_distinct_from, + sym_NULL, + sym_TRUE, + sym_FALSE, + [117742] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(1411), 1, - anon_sym_DOT_STAR, - ACTIONS(267), 7, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(265), 23, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [52469] = 3, + ACTIONS(3519), 1, + aux_sym_null_hint_token3, + ACTIONS(3521), 1, + aux_sym_distinct_from_token1, + ACTIONS(3523), 1, + aux_sym_TRUE_token1, + ACTIONS(3525), 1, + aux_sym_FALSE_token1, + STATE(1165), 4, + sym_distinct_from, + sym_NULL, + sym_TRUE, + sym_FALSE, + [117764] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(303), 7, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(301), 24, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_LBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [52508] = 3, + ACTIONS(2166), 1, + aux_sym_null_hint_token3, + ACTIONS(3563), 1, + aux_sym_distinct_from_token1, + ACTIONS(3565), 1, + aux_sym_TRUE_token1, + ACTIONS(3567), 1, + aux_sym_FALSE_token1, + STATE(256), 4, + sym_distinct_from, + sym_NULL, + sym_TRUE, + sym_FALSE, + [117786] = 8, ACTIONS(3), 1, sym_comment, - ACTIONS(249), 7, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(247), 24, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_COLON_COLON, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [52547] = 4, + ACTIONS(3707), 1, + sym__unquoted_identifier, + ACTIONS(3709), 1, + anon_sym_BQUOTE, + ACTIONS(3711), 1, + anon_sym_DQUOTE, + STATE(2005), 1, + sym_identifier, + STATE(2044), 1, + sym__quoted_identifier, + STATE(2413), 1, + sym_exclude_entry, + STATE(1933), 2, + sym_dotted_name, + sym__identifier, + [117812] = 8, ACTIONS(3), 1, sym_comment, - ACTIONS(1413), 1, - anon_sym_LBRACK, - ACTIONS(193), 7, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(191), 23, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [52588] = 3, + ACTIONS(3355), 1, + anon_sym_BQUOTE, + ACTIONS(3357), 1, + anon_sym_DQUOTE, + ACTIONS(3410), 1, + sym__unquoted_identifier, + STATE(1137), 1, + sym__quoted_identifier, + STATE(1661), 1, + sym_identifier, + STATE(1712), 1, + sym_type, + STATE(1217), 2, + sym_dotted_name, + sym__identifier, + [117838] = 8, ACTIONS(3), 1, sym_comment, - ACTIONS(253), 7, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(251), 24, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_COLON_COLON, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [52627] = 3, + ACTIONS(3355), 1, + anon_sym_BQUOTE, + ACTIONS(3357), 1, + anon_sym_DQUOTE, + ACTIONS(3410), 1, + sym__unquoted_identifier, + STATE(1137), 1, + sym__quoted_identifier, + STATE(1661), 1, + sym_identifier, + STATE(1719), 1, + sym_type, + STATE(1217), 2, + sym_dotted_name, + sym__identifier, + [117864] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(199), 7, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(197), 24, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_COLON_COLON, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [52666] = 3, + ACTIONS(3677), 1, + aux_sym_null_hint_token3, + ACTIONS(3679), 1, + aux_sym_distinct_from_token1, + ACTIONS(3681), 1, + aux_sym_TRUE_token1, + ACTIONS(3683), 1, + aux_sym_FALSE_token1, + STATE(1518), 4, + sym_distinct_from, + sym_NULL, + sym_TRUE, + sym_FALSE, + [117886] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(303), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(301), 24, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_LBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [52705] = 4, + ACTIONS(3627), 1, + aux_sym_null_hint_token3, + ACTIONS(3629), 1, + aux_sym_distinct_from_token1, + ACTIONS(3631), 1, + aux_sym_TRUE_token1, + ACTIONS(3633), 1, + aux_sym_FALSE_token1, + STATE(730), 4, + sym_distinct_from, + sym_NULL, + sym_TRUE, + sym_FALSE, + [117908] = 8, ACTIONS(3), 1, sym_comment, - ACTIONS(1215), 1, - anon_sym_LBRACK, - ACTIONS(267), 7, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(265), 23, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [52746] = 3, + ACTIONS(368), 1, + sym__unquoted_identifier, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3722), 1, + aux_sym_alter_table_token2, + STATE(113), 1, + sym__quoted_identifier, + STATE(1185), 1, + sym_identifier, + STATE(1862), 2, + sym_dotted_name, + sym__identifier, + [117934] = 8, ACTIONS(3), 1, sym_comment, - ACTIONS(207), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(205), 24, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_COLON_COLON, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [52785] = 3, + ACTIONS(3707), 1, + sym__unquoted_identifier, + ACTIONS(3709), 1, + anon_sym_BQUOTE, + ACTIONS(3711), 1, + anon_sym_DQUOTE, + STATE(2005), 1, + sym_identifier, + STATE(2044), 1, + sym__quoted_identifier, + STATE(2258), 1, + sym_exclude_entry, + STATE(1933), 2, + sym_dotted_name, + sym__identifier, + [117960] = 8, ACTIONS(3), 1, sym_comment, - ACTIONS(183), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(181), 24, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_LBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [52824] = 3, + ACTIONS(368), 1, + sym__unquoted_identifier, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3724), 1, + aux_sym_alter_table_action_alter_column_token1, + STATE(113), 1, + sym__quoted_identifier, + STATE(1185), 1, + sym_identifier, + STATE(2890), 2, + sym_dotted_name, + sym__identifier, + [117986] = 8, ACTIONS(3), 1, sym_comment, - ACTIONS(73), 7, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(71), 24, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(1762), 1, + sym_assigment_expression, + STATE(1770), 1, + sym_set_clause_body, + STATE(2857), 1, + sym_identifier, + [118011] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(1185), 1, + sym_identifier, + STATE(2338), 2, + sym_dotted_name, + sym__identifier, + [118034] = 8, + ACTIONS(3), 1, + sym_comment, + ACTIONS(368), 1, + sym__unquoted_identifier, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3726), 1, + aux_sym_grant_statement_token12, + ACTIONS(3728), 1, aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_LBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [52863] = 3, + STATE(113), 1, + sym__quoted_identifier, + STATE(1849), 1, + sym_identifier, + [118059] = 8, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3361), 1, + aux_sym_grant_statement_token4, + ACTIONS(3363), 1, + aux_sym_grant_statement_token5, + ACTIONS(3365), 1, + aux_sym_grant_statement_token6, + STATE(1769), 1, + sym_select_clause, + STATE(1813), 1, + sym__update_statement, + STATE(1814), 1, + sym__delete_statement, + STATE(1833), 1, + sym__select_statement, + [118084] = 8, + ACTIONS(3), 1, + sym_comment, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(1770), 1, + sym_set_clause_body, + STATE(2149), 1, + sym_assigment_expression, + STATE(2906), 1, + sym_identifier, + [118109] = 8, ACTIONS(3), 1, sym_comment, - ACTIONS(203), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(201), 24, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_COLON_COLON, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [52902] = 3, + ACTIONS(368), 1, + sym__unquoted_identifier, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3730), 1, + aux_sym_with_clause_token2, + STATE(113), 1, + sym__quoted_identifier, + STATE(2162), 1, + sym_cte, + STATE(3149), 1, + sym_identifier, + [118134] = 8, ACTIONS(3), 1, sym_comment, - ACTIONS(253), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(251), 24, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_COMMA, + ACTIONS(2714), 1, anon_sym_RPAREN, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_COLON_COLON, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [52941] = 3, + ACTIONS(3078), 1, + aux_sym_grant_statement_token12, + ACTIONS(3080), 1, + aux_sym_order_by_clause_token1, + ACTIONS(3082), 1, + aux_sym_limit_clause_token1, + STATE(1831), 1, + sym_limit_clause, + STATE(2155), 1, + sym_group_by_clause, + STATE(2327), 1, + sym_order_by_clause, + [118159] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(207), 7, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(205), 24, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, + ACTIONS(368), 1, + sym__unquoted_identifier, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + STATE(113), 1, + sym__quoted_identifier, + STATE(2435), 1, + sym_identifier, + ACTIONS(3732), 2, + aux_sym_set_statement_token1, + aux_sym_set_statement_token2, + [118182] = 8, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2680), 1, anon_sym_RPAREN, - aux_sym_grant_statement_token13, + ACTIONS(3078), 1, + aux_sym_grant_statement_token12, + ACTIONS(3080), 1, aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_COLON_COLON, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [52980] = 7, + ACTIONS(3082), 1, + aux_sym_limit_clause_token1, + STATE(1827), 1, + sym_limit_clause, + STATE(2165), 1, + sym_group_by_clause, + STATE(2319), 1, + sym_order_by_clause, + [118207] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(451), 1, - anon_sym_LBRACK, - ACTIONS(1417), 1, - aux_sym_sequence_token2, - ACTIONS(1419), 1, - aux_sym_null_hint_token3, - STATE(907), 1, - sym_null_constraint, - STATE(948), 1, - sym_NULL, - ACTIONS(1415), 26, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token3, - aux_sym_pg_command_token1, - aux_sym_create_function_statement_token3, - aux_sym_optimizer_hint_token1, - aux_sym_optimizer_hint_token2, - aux_sym_optimizer_hint_token3, - aux_sym_parallel_hint_token1, - aux_sym_parallel_hint_token2, - aux_sym_parallel_hint_token3, - aux_sym_parallel_hint_token4, - aux_sym_null_hint_token1, - aux_sym_null_hint_token5, - aux_sym__function_language_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(1185), 1, + sym_identifier, + STATE(1941), 2, + sym_dotted_name, + sym__identifier, + [118230] = 8, + ACTIONS(3), 1, + sym_comment, + ACTIONS(29), 1, aux_sym_grant_statement_token4, + ACTIONS(31), 1, aux_sym_grant_statement_token5, + ACTIONS(33), 1, aux_sym_grant_statement_token6, - [53027] = 4, + STATE(1592), 1, + sym_select_clause, + STATE(1813), 1, + sym__update_statement, + STATE(1814), 1, + sym__delete_statement, + STATE(1833), 1, + sym__select_statement, + [118255] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(1227), 1, - anon_sym_COLON_COLON, - ACTIONS(267), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(265), 23, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [53068] = 3, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(1185), 1, + sym_identifier, + STATE(1866), 2, + sym_dotted_name, + sym__identifier, + [118278] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(203), 7, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(201), 24, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(1185), 1, + sym_identifier, + STATE(2002), 2, + sym_dotted_name, + sym__identifier, + [118301] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(1185), 1, + sym_identifier, + STATE(1447), 2, + sym_dotted_name, + sym__identifier, + [118324] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(2190), 1, + sym_identifier, + STATE(2324), 2, + sym_dotted_name, + sym__identifier, + [118347] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(2190), 1, + sym_identifier, + STATE(2949), 2, + sym_dotted_name, + sym__identifier, + [118370] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(133), 2, + aux_sym_with_clause_token1, + sym__unquoted_identifier, + ACTIONS(131), 5, anon_sym_COMMA, anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_COLON_COLON, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [53107] = 3, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DOT, + [118385] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(183), 7, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(181), 24, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(2190), 1, + sym_identifier, + STATE(2471), 2, + sym_dotted_name, + sym__identifier, + [118408] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(1185), 1, + sym_identifier, + STATE(2016), 2, + sym_dotted_name, + sym__identifier, + [118431] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(157), 2, + aux_sym_with_clause_token1, + sym__unquoted_identifier, + ACTIONS(155), 5, anon_sym_COMMA, anon_sym_RPAREN, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DOT, + [118446] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(1185), 1, + sym_identifier, + STATE(2894), 2, + sym_dotted_name, + sym__identifier, + [118469] = 8, + ACTIONS(3), 1, + sym_comment, + ACTIONS(368), 1, + sym__unquoted_identifier, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3734), 1, + aux_sym_grant_statement_token12, + ACTIONS(3736), 1, aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_LBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [53146] = 3, + STATE(113), 1, + sym__quoted_identifier, + STATE(1884), 1, + sym_identifier, + [118494] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(199), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(197), 24, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_COLON_COLON, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [53185] = 4, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(2190), 1, + sym_identifier, + STATE(2264), 2, + sym_dotted_name, + sym__identifier, + [118517] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(1217), 1, - anon_sym_COLON_COLON, - ACTIONS(267), 7, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(265), 23, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(1185), 1, + sym_identifier, + STATE(1875), 2, + sym_dotted_name, + sym__identifier, + [118540] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(1185), 1, + sym_identifier, + STATE(1947), 2, + sym_dotted_name, + sym__identifier, + [118563] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(1185), 1, + sym_identifier, + STATE(2322), 2, + sym_dotted_name, + sym__identifier, + [118586] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(94), 2, + aux_sym_with_clause_token1, + sym__unquoted_identifier, + ACTIONS(92), 5, anon_sym_COMMA, anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [53226] = 4, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DOT, + [118601] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(1185), 1, + sym_identifier, + STATE(1987), 2, + sym_dotted_name, + sym__identifier, + [118624] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(1185), 1, + sym_identifier, + STATE(2926), 2, + sym_dotted_name, + sym__identifier, + [118647] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(2190), 1, + sym_identifier, + STATE(2500), 2, + sym_dotted_name, + sym__identifier, + [118670] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3738), 1, + sym__unquoted_identifier, + ACTIONS(3740), 1, + anon_sym_BQUOTE, + ACTIONS(3742), 1, + anon_sym_DQUOTE, + STATE(1747), 1, + sym_identifier, + STATE(1766), 1, + sym__quoted_identifier, + STATE(1701), 2, + sym_dotted_name, + sym__identifier, + [118693] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(1185), 1, + sym_identifier, + STATE(1702), 2, + sym_dotted_name, + sym__identifier, + [118716] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1409), 1, + anon_sym_BQUOTE, + ACTIONS(1411), 1, + anon_sym_DQUOTE, + ACTIONS(3347), 1, + sym__unquoted_identifier, + STATE(24), 1, + sym__quoted_identifier, + STATE(1819), 1, + sym_identifier, + STATE(1447), 2, + sym_dotted_name, + sym__identifier, + [118739] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(1185), 1, + sym_identifier, + STATE(1830), 2, + sym_dotted_name, + sym__identifier, + [118762] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(1421), 1, - anon_sym_DOT_STAR, - ACTIONS(267), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(265), 23, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [53267] = 3, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(1185), 1, + sym_identifier, + STATE(2208), 2, + sym_dotted_name, + sym__identifier, + [118785] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(73), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(71), 24, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_LBRACK, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [53306] = 3, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(1185), 1, + sym_identifier, + STATE(2628), 2, + sym_dotted_name, + sym__identifier, + [118808] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(249), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(247), 24, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_COLON_COLON, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [53345] = 4, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(1185), 1, + sym_identifier, + STATE(1734), 2, + sym_dotted_name, + sym__identifier, + [118831] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(1225), 1, - anon_sym_LBRACK, - ACTIONS(267), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(265), 23, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [53386] = 7, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(1185), 1, + sym_identifier, + STATE(2667), 2, + sym_dotted_name, + sym__identifier, + [118854] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(451), 1, - anon_sym_LBRACK, - ACTIONS(1417), 1, - aux_sym_sequence_token2, - ACTIONS(1419), 1, - aux_sym_null_hint_token3, - STATE(907), 1, - sym_null_constraint, - STATE(948), 1, - sym_NULL, - ACTIONS(1423), 26, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token3, - aux_sym_pg_command_token1, - aux_sym_create_function_statement_token3, - aux_sym_optimizer_hint_token1, - aux_sym_optimizer_hint_token2, - aux_sym_optimizer_hint_token3, - aux_sym_parallel_hint_token1, - aux_sym_parallel_hint_token2, - aux_sym_parallel_hint_token3, - aux_sym_parallel_hint_token4, - aux_sym_null_hint_token1, - aux_sym_null_hint_token5, - aux_sym__function_language_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [53433] = 4, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(2190), 1, + sym_identifier, + STATE(2399), 2, + sym_dotted_name, + sym__identifier, + [118877] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(1425), 1, - anon_sym_LBRACK, - ACTIONS(193), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(191), 23, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [53474] = 3, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(1185), 1, + sym_identifier, + STATE(2836), 2, + sym_dotted_name, + sym__identifier, + [118900] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(381), 7, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(379), 23, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(1185), 1, + sym_identifier, + STATE(1894), 2, + sym_dotted_name, + sym__identifier, + [118923] = 8, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2947), 1, anon_sym_RPAREN, - aux_sym_grant_statement_token13, + ACTIONS(3078), 1, + aux_sym_grant_statement_token12, + ACTIONS(3080), 1, aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [53512] = 3, + ACTIONS(3082), 1, + aux_sym_limit_clause_token1, + STATE(1820), 1, + sym_limit_clause, + STATE(2177), 1, + sym_group_by_clause, + STATE(2311), 1, + sym_order_by_clause, + [118948] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(1429), 1, - aux_sym_sequence_token5, - ACTIONS(1427), 29, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - aux_sym_null_hint_token3, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_create_index_statement_token1, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - [53550] = 3, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(1185), 1, + sym_identifier, + STATE(1893), 2, + sym_dotted_name, + sym__identifier, + [118971] = 8, ACTIONS(3), 1, sym_comment, - ACTIONS(267), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(265), 23, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [53588] = 3, + ACTIONS(368), 1, + sym__unquoted_identifier, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3744), 1, + aux_sym_grant_statement_token12, + ACTIONS(3746), 1, + aux_sym_grant_statement_token13, + STATE(113), 1, + sym__quoted_identifier, + STATE(1850), 1, + sym_identifier, + [118996] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(369), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(367), 23, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [53626] = 3, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(1185), 1, + sym_identifier, + STATE(2234), 2, + sym_dotted_name, + sym__identifier, + [119019] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(327), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(325), 23, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [53664] = 3, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(1185), 1, + sym_identifier, + STATE(1971), 2, + sym_dotted_name, + sym__identifier, + [119042] = 8, ACTIONS(3), 1, sym_comment, - ACTIONS(331), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(329), 23, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [53702] = 3, + ACTIONS(368), 1, + sym__unquoted_identifier, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3748), 1, + aux_sym_grant_statement_token12, + ACTIONS(3750), 1, + aux_sym_grant_statement_token13, + STATE(113), 1, + sym__quoted_identifier, + STATE(1889), 1, + sym_identifier, + [119067] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(187), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(185), 23, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [53740] = 3, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(1185), 1, + sym_identifier, + STATE(2934), 2, + sym_dotted_name, + sym__identifier, + [119090] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(405), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(403), 23, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [53778] = 3, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(1185), 1, + sym_identifier, + STATE(1703), 2, + sym_dotted_name, + sym__identifier, + [119113] = 8, ACTIONS(3), 1, sym_comment, - ACTIONS(409), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(407), 23, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [53816] = 3, + ACTIONS(368), 1, + sym__unquoted_identifier, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3752), 1, + aux_sym_grant_statement_token12, + ACTIONS(3754), 1, + aux_sym_grant_statement_token13, + STATE(113), 1, + sym__quoted_identifier, + STATE(1877), 1, + sym_identifier, + [119138] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(1433), 1, - aux_sym_sequence_token5, - ACTIONS(1431), 29, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - aux_sym_null_hint_token3, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - [53854] = 3, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(1185), 1, + sym_identifier, + STATE(1908), 2, + sym_dotted_name, + sym__identifier, + [119161] = 8, ACTIONS(3), 1, sym_comment, - ACTIONS(413), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(411), 23, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [53892] = 3, + ACTIONS(368), 1, + sym__unquoted_identifier, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3756), 1, + aux_sym_grant_statement_token12, + ACTIONS(3758), 1, + aux_sym_grant_statement_token13, + STATE(113), 1, + sym__quoted_identifier, + STATE(1876), 1, + sym_identifier, + [119186] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(399), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(397), 23, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [53930] = 3, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(1185), 1, + sym_identifier, + STATE(2935), 2, + sym_dotted_name, + sym__identifier, + [119209] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(377), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(375), 23, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [53968] = 3, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(1185), 1, + sym_identifier, + STATE(2832), 2, + sym_dotted_name, + sym__identifier, + [119232] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(335), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(333), 23, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [54006] = 3, + ACTIONS(1409), 1, + anon_sym_BQUOTE, + ACTIONS(1411), 1, + anon_sym_DQUOTE, + ACTIONS(3347), 1, + sym__unquoted_identifier, + STATE(24), 1, + sym__quoted_identifier, + STATE(1662), 1, + sym_identifier, + STATE(1447), 2, + sym_dotted_name, + sym__identifier, + [119255] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(343), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(341), 23, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [54044] = 8, + ACTIONS(13), 1, + aux_sym_with_clause_token1, + ACTIONS(3361), 1, + aux_sym_grant_statement_token4, + STATE(1769), 1, + sym_select_clause, + STATE(1823), 1, + sym__select_statement, + STATE(2350), 1, + sym_with_clause, + STATE(3127), 1, + sym_select_statement, + [119277] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(1437), 1, - anon_sym_DASH, - ACTIONS(1439), 1, - anon_sym_CARET, - ACTIONS(1443), 1, - anon_sym_SLASH, - ACTIONS(1435), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(313), 5, - aux_sym_create_function_parameter_token1, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1441), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(311), 14, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [54092] = 3, + ACTIONS(3058), 1, + anon_sym_BQUOTE, + ACTIONS(3060), 1, + anon_sym_DQUOTE, + ACTIONS(3695), 1, + sym__unquoted_identifier, + STATE(1939), 1, + sym_identifier, + STATE(2237), 1, + sym__quoted_identifier, + STATE(2352), 1, + sym_parameter, + [119299] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(355), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(353), 23, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [54130] = 10, + ACTIONS(13), 1, + aux_sym_with_clause_token1, + ACTIONS(3361), 1, + aux_sym_grant_statement_token4, + STATE(1769), 1, + sym_select_clause, + STATE(1823), 1, + sym__select_statement, + STATE(2350), 1, + sym_with_clause, + STATE(3067), 1, + sym_select_statement, + [119321] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(359), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1437), 1, - anon_sym_DASH, - ACTIONS(1439), 1, - anon_sym_CARET, - ACTIONS(1443), 1, - anon_sym_SLASH, - ACTIONS(1435), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1447), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1441), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1445), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(357), 8, - aux_sym_sequence_token2, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - [54182] = 11, + ACTIONS(13), 1, + aux_sym_with_clause_token1, + ACTIONS(3361), 1, + aux_sym_grant_statement_token4, + STATE(1769), 1, + sym_select_clause, + STATE(1823), 1, + sym__select_statement, + STATE(2350), 1, + sym_with_clause, + STATE(3313), 1, + sym_select_statement, + [119343] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(359), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1437), 1, - anon_sym_DASH, - ACTIONS(1439), 1, - anon_sym_CARET, - ACTIONS(1443), 1, - anon_sym_SLASH, - ACTIONS(1449), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1435), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1447), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1441), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1445), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(357), 7, - aux_sym_sequence_token2, + ACTIONS(2786), 1, + anon_sym_DOT, + STATE(2095), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(113), 4, anon_sym_COMMA, + anon_sym_LPAREN, anon_sym_RPAREN, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token2, - [54236] = 6, + anon_sym_COLON_COLON, + [119359] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(1439), 1, - anon_sym_CARET, - ACTIONS(1443), 1, - anon_sym_SLASH, - ACTIONS(1441), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(313), 6, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(311), 17, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [54280] = 3, + ACTIONS(13), 1, + aux_sym_with_clause_token1, + ACTIONS(29), 1, + aux_sym_grant_statement_token4, + STATE(1592), 1, + sym_select_clause, + STATE(1823), 1, + sym__select_statement, + STATE(1844), 1, + sym_select_statement, + STATE(2328), 1, + sym_with_clause, + [119381] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(1453), 1, - aux_sym_sequence_token5, - ACTIONS(1451), 29, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - aux_sym_null_hint_token3, - anon_sym_EQ, + ACTIONS(13), 1, + aux_sym_with_clause_token1, + ACTIONS(3361), 1, + aux_sym_grant_statement_token4, + STATE(1769), 1, + sym_select_clause, + STATE(1823), 1, + sym__select_statement, + STATE(2350), 1, + sym_with_clause, + STATE(2710), 1, + sym_select_statement, + [119403] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3058), 1, + anon_sym_BQUOTE, + ACTIONS(3060), 1, + anon_sym_DQUOTE, + ACTIONS(3695), 1, + sym__unquoted_identifier, + STATE(1939), 1, + sym_identifier, + STATE(2237), 1, + sym__quoted_identifier, + STATE(2419), 1, + sym_parameter, + [119425] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2408), 1, anon_sym_COMMA, + STATE(2104), 1, + aux_sym_group_by_clause_body_repeat1, + ACTIONS(3012), 4, anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, aux_sym_direction_constraint_token1, aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - [54318] = 3, + aux_sym_limit_clause_token1, + [119441] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(313), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(311), 23, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [54356] = 4, + ACTIONS(13), 1, + aux_sym_with_clause_token1, + ACTIONS(3361), 1, + aux_sym_grant_statement_token4, + STATE(1769), 1, + sym_select_clause, + STATE(1823), 1, + sym__select_statement, + STATE(2350), 1, + sym_with_clause, + STATE(2520), 1, + sym_select_statement, + [119463] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(1439), 1, - anon_sym_CARET, - ACTIONS(313), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(311), 22, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [54396] = 3, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(1758), 1, + sym_assigment_expression, + STATE(2857), 1, + sym_identifier, + [119485] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(323), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(321), 23, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [54434] = 3, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(2206), 1, + sym_cte, + STATE(3149), 1, + sym_identifier, + [119507] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(319), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(317), 23, - aux_sym_sequence_token2, - anon_sym_EQ, + ACTIONS(3760), 1, + anon_sym_DOT, + STATE(2095), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(92), 4, anon_sym_COMMA, + anon_sym_LPAREN, anon_sym_RPAREN, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [54472] = 16, + anon_sym_COLON_COLON, + [119523] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(1455), 1, - aux_sym_sequence_token2, - ACTIONS(1457), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1461), 1, + ACTIONS(13), 1, + aux_sym_with_clause_token1, + ACTIONS(29), 1, + aux_sym_grant_statement_token4, + STATE(1592), 1, + sym_select_clause, + STATE(1823), 1, + sym__select_statement, + STATE(1868), 1, + sym_select_statement, + STATE(2328), 1, + sym_with_clause, + [119545] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(13), 1, + aux_sym_with_clause_token1, + ACTIONS(3361), 1, + aux_sym_grant_statement_token4, + STATE(1769), 1, + sym_select_clause, + STATE(1823), 1, + sym__select_statement, + STATE(2350), 1, + sym_with_clause, + STATE(2608), 1, + sym_select_statement, + [119567] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(13), 1, + aux_sym_with_clause_token1, + ACTIONS(3361), 1, + aux_sym_grant_statement_token4, + STATE(1769), 1, + sym_select_clause, + STATE(1823), 1, + sym__select_statement, + STATE(2350), 1, + sym_with_clause, + STATE(2946), 1, + sym_select_statement, + [119589] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(13), 1, + aux_sym_with_clause_token1, + ACTIONS(29), 1, + aux_sym_grant_statement_token4, + STATE(1592), 1, + sym_select_clause, + STATE(1823), 1, + sym__select_statement, + STATE(1879), 1, + sym_select_statement, + STATE(2328), 1, + sym_with_clause, + [119611] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(13), 1, + aux_sym_with_clause_token1, + ACTIONS(3361), 1, + aux_sym_grant_statement_token4, + STATE(1769), 1, + sym_select_clause, + STATE(1823), 1, + sym__select_statement, + STATE(2350), 1, + sym_with_clause, + STATE(2508), 1, + sym_select_statement, + [119633] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(13), 1, + aux_sym_with_clause_token1, + ACTIONS(3361), 1, + aux_sym_grant_statement_token4, + STATE(1769), 1, + sym_select_clause, + STATE(1823), 1, + sym__select_statement, + STATE(2350), 1, + sym_with_clause, + STATE(2545), 1, + sym_select_statement, + [119655] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(13), 1, + aux_sym_with_clause_token1, + ACTIONS(3361), 1, + aux_sym_grant_statement_token4, + STATE(1769), 1, + sym_select_clause, + STATE(1823), 1, + sym__select_statement, + STATE(2350), 1, + sym_with_clause, + STATE(2842), 1, + sym_select_statement, + [119677] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(13), 1, + aux_sym_with_clause_token1, + ACTIONS(3361), 1, + aux_sym_grant_statement_token4, + STATE(1769), 1, + sym_select_clause, + STATE(1823), 1, + sym__select_statement, + STATE(2350), 1, + sym_with_clause, + STATE(2582), 1, + sym_select_statement, + [119699] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3763), 1, anon_sym_COMMA, - ACTIONS(1463), 1, - aux_sym_is_expression_token1, - ACTIONS(1465), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1467), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1471), 1, - anon_sym_DASH, - ACTIONS(1475), 1, - anon_sym_CARET, - ACTIONS(1479), 1, - anon_sym_SLASH, - STATE(1360), 1, + STATE(2104), 1, aux_sym_group_by_clause_body_repeat1, - ACTIONS(919), 2, + ACTIONS(1201), 4, anon_sym_RPAREN, - aux_sym_order_by_clause_token1, - ACTIONS(1469), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1473), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1477), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1459), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [54536] = 3, + aux_sym_direction_constraint_token1, + aux_sym_direction_constraint_token2, + aux_sym_limit_clause_token1, + [119715] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(373), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(371), 23, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [54574] = 3, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(2170), 1, + sym_cte, + STATE(3149), 1, + sym_identifier, + [119737] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(381), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(379), 23, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [54612] = 14, + ACTIONS(13), 1, + aux_sym_with_clause_token1, + ACTIONS(3361), 1, + aux_sym_grant_statement_token4, + STATE(1769), 1, + sym_select_clause, + STATE(1823), 1, + sym__select_statement, + STATE(2350), 1, + sym_with_clause, + STATE(2620), 1, + sym_select_statement, + [119759] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(1437), 1, - anon_sym_DASH, - ACTIONS(1439), 1, - anon_sym_CARET, - ACTIONS(1443), 1, - anon_sym_SLASH, - ACTIONS(1449), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1481), 1, - aux_sym_sequence_token2, - ACTIONS(1483), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1485), 1, - aux_sym_is_expression_token1, - ACTIONS(1487), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1435), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(383), 4, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - ACTIONS(1447), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1441), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1445), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [54672] = 3, + ACTIONS(13), 1, + aux_sym_with_clause_token1, + ACTIONS(3361), 1, + aux_sym_grant_statement_token4, + STATE(1769), 1, + sym_select_clause, + STATE(1823), 1, + sym__select_statement, + STATE(2350), 1, + sym_with_clause, + STATE(2661), 1, + sym_select_statement, + [119781] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(339), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(337), 23, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [54710] = 3, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(1758), 1, + sym_assigment_expression, + STATE(2906), 1, + sym_identifier, + [119803] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(299), 7, - aux_sym_create_function_parameter_token1, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(297), 23, - aux_sym_sequence_token2, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - aux_sym_boolean_expression_token2, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [54748] = 3, + ACTIONS(13), 1, + aux_sym_with_clause_token1, + ACTIONS(3361), 1, + aux_sym_grant_statement_token4, + STATE(1769), 1, + sym_select_clause, + STATE(1823), 1, + sym__select_statement, + STATE(2350), 1, + sym_with_clause, + STATE(2702), 1, + sym_select_statement, + [119825] = 7, ACTIONS(3), 1, sym_comment, - ACTIONS(299), 7, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(297), 23, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [54786] = 3, + ACTIONS(13), 1, + aux_sym_with_clause_token1, + ACTIONS(29), 1, + aux_sym_grant_statement_token4, + STATE(1592), 1, + sym_select_clause, + STATE(1823), 1, + sym__select_statement, + STATE(1882), 1, + sym_select_statement, + STATE(2328), 1, + sym_with_clause, + [119847] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(13), 1, + aux_sym_with_clause_token1, + ACTIONS(3361), 1, + aux_sym_grant_statement_token4, + STATE(1769), 1, + sym_select_clause, + STATE(1823), 1, + sym__select_statement, + STATE(2350), 1, + sym_with_clause, + STATE(2743), 1, + sym_select_statement, + [119869] = 7, + ACTIONS(3), 1, + sym_comment, + ACTIONS(13), 1, + aux_sym_with_clause_token1, + ACTIONS(3361), 1, + aux_sym_grant_statement_token4, + STATE(1769), 1, + sym_select_clause, + STATE(1823), 1, + sym__select_statement, + STATE(2350), 1, + sym_with_clause, + STATE(2784), 1, + sym_select_statement, + [119891] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1225), 1, + anon_sym_BQUOTE, + ACTIONS(1227), 1, + anon_sym_DQUOTE, + ACTIONS(3766), 1, + sym__unquoted_identifier, + STATE(301), 1, + sym__quoted_identifier, + STATE(349), 1, + sym_identifier, + [119910] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3768), 1, + anon_sym_TABLE, + ACTIONS(3770), 4, + anon_sym_VIEW, + anon_sym_TABLESPACE, + anon_sym_EXTENSION, + anon_sym_INDEX, + [119923] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1413), 1, + anon_sym_SQUOTE, + ACTIONS(1415), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(3772), 1, + aux_sym_number_token1, + STATE(245), 2, + sym_number, + sym_string, + [119940] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(1849), 1, + sym_identifier, + [119959] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(3144), 1, + sym_identifier, + [119978] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(339), 7, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(337), 23, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [54824] = 14, + ACTIONS(1135), 1, + anon_sym_BQUOTE, + ACTIONS(1137), 1, + anon_sym_DQUOTE, + ACTIONS(3774), 1, + sym__unquoted_identifier, + STATE(45), 1, + sym__quoted_identifier, + STATE(71), 1, + sym_identifier, + [119997] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1455), 1, - aux_sym_sequence_token2, - ACTIONS(1457), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1463), 1, - aux_sym_is_expression_token1, - ACTIONS(1465), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1467), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1471), 1, - anon_sym_DASH, - ACTIONS(1475), 1, - anon_sym_CARET, - ACTIONS(1479), 1, - anon_sym_SLASH, - ACTIONS(1469), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(383), 4, + ACTIONS(3776), 1, anon_sym_COMMA, + STATE(2130), 1, + aux_sym_set_clause_body_repeat1, + ACTIONS(3029), 3, anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - ACTIONS(1473), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1477), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1459), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [54884] = 3, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + [120012] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(373), 7, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(371), 23, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [54922] = 3, + ACTIONS(61), 1, + anon_sym_SQUOTE, + ACTIONS(63), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(172), 2, + sym_number, + sym_string, + [120029] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(319), 7, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(317), 23, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [54960] = 3, + ACTIONS(1589), 1, + anon_sym_SQUOTE, + ACTIONS(1591), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(3780), 1, + aux_sym_number_token1, + STATE(1526), 2, + sym_number, + sym_string, + [120046] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(323), 7, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(321), 23, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, + ACTIONS(3782), 1, anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [54998] = 4, + STATE(2173), 1, + aux_sym_with_clause_repeat1, + ACTIONS(3784), 3, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [120061] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(1475), 1, - anon_sym_CARET, - ACTIONS(313), 7, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(311), 22, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [55038] = 3, + ACTIONS(3460), 1, + sym__unquoted_identifier, + ACTIONS(3462), 1, + anon_sym_BQUOTE, + ACTIONS(3464), 1, + anon_sym_DQUOTE, + STATE(6), 1, + sym_identifier, + STATE(312), 1, + sym__quoted_identifier, + [120080] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(313), 7, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(311), 23, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, + ACTIONS(3786), 5, anon_sym_COMMA, anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [55076] = 6, + sym__unquoted_identifier, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + [120091] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(1475), 1, - anon_sym_CARET, - ACTIONS(1479), 1, - anon_sym_SLASH, - ACTIONS(1477), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(313), 6, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(311), 17, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [55120] = 11, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(1670), 1, + sym_identifier, + [120110] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(359), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1465), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1471), 1, - anon_sym_DASH, - ACTIONS(1475), 1, - anon_sym_CARET, - ACTIONS(1479), 1, - anon_sym_SLASH, - ACTIONS(1469), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1473), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1477), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1459), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(357), 7, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, + ACTIONS(57), 1, + anon_sym_BQUOTE, + ACTIONS(59), 1, + anon_sym_DQUOTE, + ACTIONS(3788), 1, + sym__unquoted_identifier, + STATE(31), 1, + sym_identifier, + STATE(33), 1, + sym__quoted_identifier, + [120129] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2461), 1, anon_sym_COMMA, + STATE(2188), 1, + aux_sym_group_by_clause_body_repeat1, + ACTIONS(3067), 3, anon_sym_RPAREN, - aux_sym_grant_statement_token13, aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - [55174] = 10, + aux_sym_limit_clause_token1, + [120144] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(359), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1471), 1, - anon_sym_DASH, - ACTIONS(1475), 1, - anon_sym_CARET, - ACTIONS(1479), 1, - anon_sym_SLASH, - ACTIONS(1469), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1473), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1477), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1459), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - ACTIONS(357), 8, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, + ACTIONS(1067), 1, + anon_sym_SQUOTE, + ACTIONS(1069), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(3790), 1, + aux_sym_number_token1, + STATE(1414), 2, + sym_number, + sym_string, + [120161] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(2987), 1, + sym_identifier, + [120180] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3792), 1, anon_sym_COMMA, + STATE(2130), 1, + aux_sym_set_clause_body_repeat1, + ACTIONS(3062), 3, anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - [55226] = 3, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + [120195] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(355), 7, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(353), 23, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(1904), 1, + sym_identifier, + [120214] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3498), 1, + sym__unquoted_identifier, + ACTIONS(3500), 1, + anon_sym_BQUOTE, + ACTIONS(3502), 1, + anon_sym_DQUOTE, + STATE(1080), 1, + sym_identifier, + STATE(1084), 1, + sym__quoted_identifier, + [120233] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1139), 1, + anon_sym_SQUOTE, + ACTIONS(1141), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(3795), 1, + aux_sym_number_token1, + STATE(268), 2, + sym_number, + sym_string, + [120250] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1183), 1, + anon_sym_BQUOTE, + ACTIONS(1185), 1, + anon_sym_DQUOTE, + ACTIONS(3797), 1, + sym__unquoted_identifier, + STATE(549), 1, + sym__quoted_identifier, + STATE(726), 1, + sym_identifier, + [120269] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(1855), 1, + sym_identifier, + [120288] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3058), 1, + anon_sym_BQUOTE, + ACTIONS(3060), 1, + anon_sym_DQUOTE, + ACTIONS(3695), 1, + sym__unquoted_identifier, + STATE(2237), 1, + sym__quoted_identifier, + STATE(2239), 1, + sym_identifier, + [120307] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1637), 1, + anon_sym_SQUOTE, + ACTIONS(1639), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(3799), 1, + aux_sym_number_token1, + STATE(720), 2, + sym_number, + sym_string, + [120324] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2714), 1, anon_sym_RPAREN, - aux_sym_grant_statement_token13, + ACTIONS(3080), 1, aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [55264] = 8, + ACTIONS(3082), 1, + aux_sym_limit_clause_token1, + STATE(1831), 1, + sym_limit_clause, + STATE(2327), 1, + sym_order_by_clause, + [120343] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1519), 1, + anon_sym_SQUOTE, + ACTIONS(1521), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(3801), 1, + aux_sym_number_token1, + STATE(615), 2, + sym_number, + sym_string, + [120360] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3438), 1, + sym__unquoted_identifier, + ACTIONS(3440), 1, + anon_sym_BQUOTE, + ACTIONS(3442), 1, + anon_sym_DQUOTE, + STATE(454), 1, + sym_identifier, + STATE(481), 1, + sym__quoted_identifier, + [120379] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3478), 1, + sym__unquoted_identifier, + ACTIONS(3480), 1, + anon_sym_BQUOTE, + ACTIONS(3482), 1, + anon_sym_DQUOTE, + STATE(1078), 1, + sym__quoted_identifier, + STATE(1087), 1, + sym_identifier, + [120398] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(3418), 1, + sym__unquoted_identifier, + STATE(284), 1, + sym_identifier, + STATE(287), 1, + sym__quoted_identifier, + [120417] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3803), 1, + anon_sym_DOT, + STATE(2203), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(119), 3, + sym__unquoted_identifier, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + [120432] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(2383), 1, + sym_identifier, + [120451] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1063), 1, + anon_sym_BQUOTE, + ACTIONS(1065), 1, + anon_sym_DQUOTE, + ACTIONS(3805), 1, + sym__unquoted_identifier, + STATE(1209), 1, + sym__quoted_identifier, + STATE(1269), 1, + sym_identifier, + [120470] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(1471), 1, - anon_sym_DASH, - ACTIONS(1475), 1, - anon_sym_CARET, - ACTIONS(1479), 1, - anon_sym_SLASH, - ACTIONS(1469), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(313), 5, - aux_sym_boolean_expression_token2, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1477), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(311), 14, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [55312] = 3, + ACTIONS(1229), 1, + anon_sym_SQUOTE, + ACTIONS(1231), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(3807), 1, + aux_sym_number_token1, + STATE(483), 2, + sym_number, + sym_string, + [120487] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(343), 7, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(341), 23, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [55350] = 14, + ACTIONS(513), 1, + anon_sym_SQUOTE, + ACTIONS(515), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(3809), 1, + aux_sym_number_token1, + STATE(1015), 2, + sym_number, + sym_string, + [120504] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(1437), 1, - anon_sym_DASH, - ACTIONS(1439), 1, - anon_sym_CARET, - ACTIONS(1443), 1, - anon_sym_SLASH, - ACTIONS(1449), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1481), 1, - aux_sym_sequence_token2, - ACTIONS(1483), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1485), 1, - aux_sym_is_expression_token1, - ACTIONS(1487), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1435), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1043), 4, + ACTIONS(3738), 1, + sym__unquoted_identifier, + ACTIONS(3740), 1, + anon_sym_BQUOTE, + ACTIONS(3742), 1, + anon_sym_DQUOTE, + STATE(1756), 1, + sym_identifier, + STATE(1766), 1, + sym__quoted_identifier, + [120523] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3776), 1, anon_sym_COMMA, + STATE(2119), 1, + aux_sym_set_clause_body_repeat1, + ACTIONS(3069), 3, anon_sym_RPAREN, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - ACTIONS(1447), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1441), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1445), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [55410] = 15, + aux_sym_where_clause_token1, + aux_sym_from_clause_token1, + [120538] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(1491), 1, - aux_sym_grant_statement_token13, - ACTIONS(1493), 1, - aux_sym_order_by_clause_token1, - ACTIONS(1495), 1, + ACTIONS(3040), 1, + anon_sym_RPAREN, + ACTIONS(3811), 1, aux_sym_where_clause_token1, - ACTIONS(1497), 1, + ACTIONS(3813), 1, aux_sym_from_clause_token1, - ACTIONS(1499), 1, - aux_sym_join_type_token1, - ACTIONS(1503), 1, - aux_sym_join_clause_token1, - STATE(908), 1, - sym_from_clause, - STATE(1024), 1, + STATE(1832), 1, sym_where_clause, - STATE(1057), 1, - sym_group_by_clause, - STATE(1066), 1, - sym_order_by_clause, - STATE(1807), 1, - sym_join_type, - STATE(909), 2, - sym_join_clause, - aux_sym_select_statement_repeat1, - ACTIONS(1501), 3, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - ACTIONS(1489), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [55472] = 3, + STATE(2245), 1, + sym_from_clause, + [120557] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(335), 7, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(333), 23, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [55510] = 3, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(3815), 1, + aux_sym_number_token1, + STATE(563), 2, + sym_number, + sym_string, + [120574] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(377), 7, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(375), 23, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [55548] = 3, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(1212), 1, + sym_identifier, + [120593] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(399), 7, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(397), 23, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [55586] = 3, + ACTIONS(3432), 1, + sym__unquoted_identifier, + ACTIONS(3434), 1, + anon_sym_BQUOTE, + ACTIONS(3436), 1, + anon_sym_DQUOTE, + STATE(32), 1, + sym__quoted_identifier, + STATE(35), 1, + sym_identifier, + [120612] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(413), 7, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(411), 23, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [55624] = 3, + ACTIONS(1633), 1, + anon_sym_BQUOTE, + ACTIONS(1635), 1, + anon_sym_DQUOTE, + ACTIONS(3817), 1, + sym__unquoted_identifier, + STATE(436), 1, + sym__quoted_identifier, + STATE(505), 1, + sym_identifier, + [120631] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(409), 7, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(407), 23, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, + ACTIONS(2680), 1, anon_sym_RPAREN, - aux_sym_grant_statement_token13, + ACTIONS(3080), 1, aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [55662] = 3, + ACTIONS(3082), 1, + aux_sym_limit_clause_token1, + STATE(1827), 1, + sym_limit_clause, + STATE(2319), 1, + sym_order_by_clause, + [120650] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(405), 7, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(403), 23, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [55700] = 3, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(2269), 1, + sym_identifier, + [120669] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(267), 7, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(265), 23, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [55738] = 3, + ACTIONS(1515), 1, + anon_sym_BQUOTE, + ACTIONS(1517), 1, + anon_sym_DQUOTE, + ACTIONS(3819), 1, + sym__unquoted_identifier, + STATE(373), 1, + sym__quoted_identifier, + STATE(440), 1, + sym_identifier, + [120688] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(369), 7, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(367), 23, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(2464), 1, + sym_identifier, + [120707] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1350), 1, + anon_sym_BQUOTE, + ACTIONS(1352), 1, + anon_sym_DQUOTE, + ACTIONS(3821), 1, + sym__unquoted_identifier, + STATE(1110), 1, + sym__quoted_identifier, + STATE(1161), 1, + sym_identifier, + [120726] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1103), 1, + anon_sym_SQUOTE, + ACTIONS(1105), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(3823), 1, + aux_sym_number_token1, + STATE(1315), 2, + sym_number, + sym_string, + [120743] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3492), 1, + sym__unquoted_identifier, + ACTIONS(3494), 1, + anon_sym_BQUOTE, + ACTIONS(3496), 1, + anon_sym_DQUOTE, + STATE(30), 1, + sym__quoted_identifier, + STATE(34), 1, + sym_identifier, + [120762] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3782), 1, anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [55776] = 3, + STATE(2122), 1, + aux_sym_with_clause_repeat1, + ACTIONS(3825), 3, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [120777] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(327), 7, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(325), 23, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, + ACTIONS(3782), 1, anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [55814] = 3, + STATE(2173), 1, + aux_sym_with_clause_repeat1, + ACTIONS(3827), 3, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [120792] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3355), 1, + anon_sym_BQUOTE, + ACTIONS(3357), 1, + anon_sym_DQUOTE, + ACTIONS(3410), 1, + sym__unquoted_identifier, + STATE(6), 1, + sym_identifier, + STATE(1137), 1, + sym__quoted_identifier, + [120811] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(331), 7, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(329), 23, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, + ACTIONS(2947), 1, anon_sym_RPAREN, - aux_sym_grant_statement_token13, + ACTIONS(3080), 1, aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [55852] = 3, + ACTIONS(3082), 1, + aux_sym_limit_clause_token1, + STATE(1820), 1, + sym_limit_clause, + STATE(2311), 1, + sym_order_by_clause, + [120830] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(187), 7, - aux_sym_boolean_expression_token2, - anon_sym_DASH, - anon_sym_TILDE, - anon_sym_SLASH, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(185), 23, - aux_sym_sequence_token2, - aux_sym_create_function_parameter_token1, - anon_sym_EQ, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_is_expression_token1, - aux_sym_boolean_expression_token1, - anon_sym_PLUS, - anon_sym_CARET, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - anon_sym_PIPE, - anon_sym_POUND, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [55890] = 16, + ACTIONS(1187), 1, + anon_sym_SQUOTE, + ACTIONS(1189), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(3829), 1, + aux_sym_number_token1, + STATE(1066), 2, + sym_number, + sym_string, + [120847] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1505), 1, - anon_sym_COMMA, - ACTIONS(1507), 1, - anon_sym_RPAREN, - STATE(1399), 1, - aux_sym_group_by_clause_body_repeat1, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [55953] = 16, + ACTIONS(1409), 1, + anon_sym_BQUOTE, + ACTIONS(1411), 1, + anon_sym_DQUOTE, + ACTIONS(3347), 1, + sym__unquoted_identifier, + STATE(24), 1, + sym__quoted_identifier, + STATE(1674), 1, + sym_identifier, + [120866] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1505), 1, - anon_sym_COMMA, - ACTIONS(1509), 1, - anon_sym_RPAREN, - STATE(1396), 1, - aux_sym_group_by_clause_body_repeat1, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [56016] = 16, + ACTIONS(3466), 1, + sym__unquoted_identifier, + ACTIONS(3468), 1, + anon_sym_BQUOTE, + ACTIONS(3470), 1, + anon_sym_DQUOTE, + STATE(15), 1, + sym__quoted_identifier, + STATE(16), 1, + sym_identifier, + [120885] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1505), 1, - anon_sym_COMMA, - ACTIONS(1511), 1, - anon_sym_RPAREN, - STATE(1459), 1, - aux_sym_group_by_clause_body_repeat1, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [56079] = 16, + ACTIONS(3432), 1, + sym__unquoted_identifier, + ACTIONS(3434), 1, + anon_sym_BQUOTE, + ACTIONS(3436), 1, + anon_sym_DQUOTE, + STATE(32), 1, + sym__quoted_identifier, + STATE(1130), 1, + sym_identifier, + [120904] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1505), 1, + ACTIONS(3782), 1, anon_sym_COMMA, - ACTIONS(1513), 1, - anon_sym_RPAREN, - STATE(1441), 1, - aux_sym_group_by_clause_body_repeat1, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [56142] = 3, + STATE(2163), 1, + aux_sym_with_clause_repeat1, + ACTIONS(3784), 3, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [120919] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(1517), 1, - aux_sym_sequence_token5, - ACTIONS(1515), 28, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - aux_sym_null_hint_token3, + ACTIONS(1467), 1, + anon_sym_BQUOTE, + ACTIONS(1469), 1, + anon_sym_DQUOTE, + ACTIONS(3452), 1, + sym__unquoted_identifier, + STATE(1086), 1, + sym_identifier, + STATE(1088), 1, + sym__quoted_identifier, + [120938] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1585), 1, + anon_sym_BQUOTE, + ACTIONS(1587), 1, + anon_sym_DQUOTE, + ACTIONS(3486), 1, + sym__unquoted_identifier, + STATE(1094), 1, + sym_identifier, + STATE(1105), 1, + sym__quoted_identifier, + [120957] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3831), 1, anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, + STATE(2173), 1, + aux_sym_with_clause_repeat1, + ACTIONS(3834), 3, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - [56179] = 16, + [120972] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1505), 1, - anon_sym_COMMA, - ACTIONS(1519), 1, - anon_sym_RPAREN, - STATE(1431), 1, - aux_sym_group_by_clause_body_repeat1, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [56242] = 16, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(1682), 1, + sym_identifier, + [120991] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1505), 1, - anon_sym_COMMA, - ACTIONS(1521), 1, - anon_sym_RPAREN, - STATE(1447), 1, - aux_sym_group_by_clause_body_repeat1, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [56305] = 16, + ACTIONS(1673), 1, + anon_sym_SQUOTE, + ACTIONS(1675), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(3836), 1, + aux_sym_number_token1, + STATE(355), 2, + sym_number, + sym_string, + [121008] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1505), 1, - anon_sym_COMMA, - ACTIONS(1523), 1, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(2285), 1, + sym_identifier, + [121027] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3071), 1, anon_sym_RPAREN, - STATE(1453), 1, - aux_sym_group_by_clause_body_repeat1, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [56368] = 16, + ACTIONS(3080), 1, + aux_sym_order_by_clause_token1, + ACTIONS(3082), 1, + aux_sym_limit_clause_token1, + STATE(1821), 1, + sym_limit_clause, + STATE(2297), 1, + sym_order_by_clause, + [121046] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1409), 1, + anon_sym_BQUOTE, + ACTIONS(1411), 1, + anon_sym_DQUOTE, + ACTIONS(3347), 1, + sym__unquoted_identifier, + STATE(24), 1, + sym__quoted_identifier, + STATE(40), 1, + sym_identifier, + [121065] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3707), 1, + sym__unquoted_identifier, + ACTIONS(3709), 1, + anon_sym_BQUOTE, + ACTIONS(3711), 1, + anon_sym_DQUOTE, + STATE(2044), 1, + sym__quoted_identifier, + STATE(2054), 1, + sym_identifier, + [121084] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(2463), 1, + sym_identifier, + [121103] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3355), 1, + anon_sym_BQUOTE, + ACTIONS(3357), 1, + anon_sym_DQUOTE, + ACTIONS(3410), 1, + sym__unquoted_identifier, + STATE(1130), 1, + sym_identifier, + STATE(1137), 1, + sym__quoted_identifier, + [121122] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1669), 1, + anon_sym_BQUOTE, + ACTIONS(1671), 1, + anon_sym_DQUOTE, + ACTIONS(3838), 1, + sym__unquoted_identifier, + STATE(225), 1, + sym__quoted_identifier, + STATE(289), 1, + sym_identifier, + [121141] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(2298), 1, + sym_identifier, + [121160] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1354), 1, + anon_sym_SQUOTE, + ACTIONS(1356), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(3840), 1, + aux_sym_number_token1, + STATE(1322), 2, + sym_number, + sym_string, + [121177] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3842), 1, + anon_sym_DOT, + STATE(2185), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(92), 3, + sym__unquoted_identifier, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + [121192] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1099), 1, + anon_sym_BQUOTE, + ACTIONS(1101), 1, + anon_sym_DQUOTE, + ACTIONS(3845), 1, + sym__unquoted_identifier, + STATE(1112), 1, + sym__quoted_identifier, + STATE(1166), 1, + sym_identifier, + [121211] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1471), 1, + anon_sym_SQUOTE, + ACTIONS(1473), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(3847), 1, + aux_sym_number_token1, + STATE(1444), 2, + sym_number, + sym_string, + [121228] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1505), 1, + ACTIONS(3849), 1, anon_sym_COMMA, - ACTIONS(1525), 1, - anon_sym_RPAREN, - STATE(1458), 1, + STATE(2188), 1, aux_sym_group_by_clause_body_repeat1, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [56431] = 16, + ACTIONS(1201), 3, + anon_sym_RPAREN, + aux_sym_order_by_clause_token1, + aux_sym_limit_clause_token1, + [121243] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1505), 1, - anon_sym_COMMA, - ACTIONS(1527), 1, - anon_sym_RPAREN, - STATE(1456), 1, - aux_sym_group_by_clause_body_repeat1, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [56494] = 16, + ACTIONS(3426), 1, + sym__unquoted_identifier, + ACTIONS(3428), 1, + anon_sym_BQUOTE, + ACTIONS(3430), 1, + anon_sym_DQUOTE, + STATE(1113), 1, + sym__quoted_identifier, + STATE(1120), 1, + sym_identifier, + [121262] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1505), 1, + ACTIONS(2786), 1, + anon_sym_DOT, + STATE(2087), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(119), 3, anon_sym_COMMA, - ACTIONS(1529), 1, + anon_sym_LPAREN, anon_sym_RPAREN, - STATE(1408), 1, - aux_sym_group_by_clause_body_repeat1, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [56557] = 16, + [121277] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1505), 1, - anon_sym_COMMA, - ACTIONS(1531), 1, - anon_sym_RPAREN, - STATE(1367), 1, - aux_sym_group_by_clause_body_repeat1, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [56620] = 5, + ACTIONS(509), 1, + anon_sym_BQUOTE, + ACTIONS(511), 1, + anon_sym_DQUOTE, + ACTIONS(3852), 1, + sym__unquoted_identifier, + STATE(504), 1, + sym__quoted_identifier, + STATE(652), 1, + sym_identifier, + [121296] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(429), 1, - anon_sym_LPAREN, - ACTIONS(1535), 1, - aux_sym_sequence_token5, - ACTIONS(1537), 1, - anon_sym_COLON_COLON, - ACTIONS(1533), 26, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - aux_sym_null_hint_token3, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - [56661] = 16, + ACTIONS(3420), 1, + sym__unquoted_identifier, + ACTIONS(3422), 1, + anon_sym_BQUOTE, + ACTIONS(3424), 1, + anon_sym_DQUOTE, + STATE(388), 1, + sym__quoted_identifier, + STATE(392), 1, + sym_identifier, + [121315] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1505), 1, - anon_sym_COMMA, - ACTIONS(1539), 1, - anon_sym_RPAREN, - STATE(1439), 1, - aux_sym_group_by_clause_body_repeat1, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [56724] = 16, + ACTIONS(1409), 1, + anon_sym_BQUOTE, + ACTIONS(1411), 1, + anon_sym_DQUOTE, + ACTIONS(3347), 1, + sym__unquoted_identifier, + STATE(24), 1, + sym__quoted_identifier, + STATE(1130), 1, + sym_identifier, + [121334] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1505), 1, - anon_sym_COMMA, - ACTIONS(1541), 1, - anon_sym_RPAREN, - STATE(1366), 1, - aux_sym_group_by_clause_body_repeat1, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [56787] = 16, + ACTIONS(3446), 1, + sym__unquoted_identifier, + ACTIONS(3448), 1, + anon_sym_BQUOTE, + ACTIONS(3450), 1, + anon_sym_DQUOTE, + STATE(153), 1, + sym__quoted_identifier, + STATE(208), 1, + sym_identifier, + [121353] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1505), 1, - anon_sym_COMMA, - ACTIONS(1543), 1, - anon_sym_RPAREN, - STATE(1377), 1, - aux_sym_group_by_clause_body_repeat1, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [56850] = 3, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(2485), 1, + sym_identifier, + [121372] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(1547), 1, - aux_sym_sequence_token5, - ACTIONS(1545), 28, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - aux_sym_null_hint_token3, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - [56887] = 16, + ACTIONS(855), 1, + anon_sym_BQUOTE, + ACTIONS(857), 1, + anon_sym_DQUOTE, + ACTIONS(3418), 1, + sym__unquoted_identifier, + STATE(287), 1, + sym__quoted_identifier, + STATE(1130), 1, + sym_identifier, + [121391] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1505), 1, - anon_sym_COMMA, - ACTIONS(1549), 1, - anon_sym_RPAREN, - STATE(1423), 1, - aux_sym_group_by_clause_body_repeat1, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [56950] = 16, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(1836), 1, + sym_identifier, + [121410] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1505), 1, - anon_sym_COMMA, - ACTIONS(1551), 1, - anon_sym_RPAREN, - STATE(1392), 1, - aux_sym_group_by_clause_body_repeat1, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [57013] = 16, + ACTIONS(1744), 1, + anon_sym_SQUOTE, + ACTIONS(1746), 1, + anon_sym_DOLLAR_DOLLAR, + ACTIONS(3854), 1, + aux_sym_number_token1, + STATE(1194), 2, + sym_number, + sym_string, + [121427] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(1876), 1, + sym_identifier, + [121446] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3454), 1, + sym__unquoted_identifier, + ACTIONS(3456), 1, + anon_sym_BQUOTE, + ACTIONS(3458), 1, + anon_sym_DQUOTE, + STATE(290), 1, + sym_identifier, + STATE(296), 1, + sym__quoted_identifier, + [121465] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1740), 1, + anon_sym_BQUOTE, + ACTIONS(1742), 1, + anon_sym_DQUOTE, + ACTIONS(3484), 1, + sym__unquoted_identifier, + STATE(620), 1, + sym_identifier, + STATE(629), 1, + sym__quoted_identifier, + [121484] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(113), 1, + sym__quoted_identifier, + STATE(1888), 1, + sym_identifier, + [121503] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3803), 1, + anon_sym_DOT, + STATE(2185), 1, + aux_sym_dotted_name_repeat1, + ACTIONS(113), 3, + sym__unquoted_identifier, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + [121518] = 6, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1505), 1, + ACTIONS(3472), 1, + sym__unquoted_identifier, + ACTIONS(3474), 1, + anon_sym_BQUOTE, + ACTIONS(3476), 1, + anon_sym_DQUOTE, + STATE(425), 1, + sym_identifier, + STATE(442), 1, + sym__quoted_identifier, + [121537] = 6, + ACTIONS(3), 1, + sym_comment, + ACTIONS(370), 1, + anon_sym_BQUOTE, + ACTIONS(372), 1, + anon_sym_DQUOTE, + ACTIONS(3391), 1, + sym__unquoted_identifier, + STATE(31), 1, + sym_identifier, + STATE(113), 1, + sym__quoted_identifier, + [121556] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3834), 4, anon_sym_COMMA, - ACTIONS(1553), 1, - anon_sym_RPAREN, - STATE(1370), 1, - aux_sym_group_by_clause_body_repeat1, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [57076] = 3, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [121566] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1557), 1, - aux_sym_sequence_token5, - ACTIONS(1555), 28, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - aux_sym_null_hint_token3, + ACTIONS(3856), 4, anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - [57113] = 16, + [121576] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1505), 1, + ACTIONS(3858), 1, + anon_sym_LPAREN, + ACTIONS(3860), 1, + aux_sym_table_constraint_exclude_token2, + STATE(1743), 1, + sym_index_table_parameters, + STATE(2455), 1, + sym_using_clause, + [121592] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3862), 1, + aux_sym_conditional_expression_token2, + ACTIONS(3864), 1, + aux_sym_conditional_expression_token4, + ACTIONS(3866), 1, + aux_sym_conditional_expression_token5, + STATE(2213), 1, + aux_sym_conditional_expression_repeat1, + [121608] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3868), 4, anon_sym_COMMA, - ACTIONS(1559), 1, - anon_sym_RPAREN, - STATE(1446), 1, - aux_sym_group_by_clause_body_repeat1, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, + aux_sym_grant_statement_token4, + aux_sym_grant_statement_token5, + aux_sym_grant_statement_token6, + [121618] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3862), 1, + aux_sym_conditional_expression_token2, + ACTIONS(3870), 1, + aux_sym_conditional_expression_token4, + ACTIONS(3872), 1, + aux_sym_conditional_expression_token5, + STATE(2213), 1, + aux_sym_conditional_expression_repeat1, + [121634] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3862), 1, + aux_sym_conditional_expression_token2, + ACTIONS(3874), 1, + aux_sym_conditional_expression_token4, + ACTIONS(3876), 1, + aux_sym_conditional_expression_token5, + STATE(2213), 1, + aux_sym_conditional_expression_repeat1, + [121650] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3878), 1, + aux_sym_conditional_expression_token2, + STATE(2213), 1, + aux_sym_conditional_expression_repeat1, + ACTIONS(3881), 2, + aux_sym_conditional_expression_token4, + aux_sym_conditional_expression_token5, + [121664] = 3, + ACTIONS(3), 1, + sym_comment, + STATE(2405), 1, + sym_binary_operator, + ACTIONS(3883), 3, anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [57176] = 16, + anon_sym_AMP_AMP, + anon_sym_PIPE_PIPE, + [121676] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1505), 1, + ACTIONS(3885), 1, anon_sym_COMMA, - ACTIONS(1561), 1, + STATE(2238), 1, + aux_sym_select_clause_body_repeat1, + ACTIONS(2850), 2, anon_sym_RPAREN, - STATE(1391), 1, - aux_sym_group_by_clause_body_repeat1, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [57239] = 3, + aux_sym_where_clause_token1, + [121690] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(1565), 1, - aux_sym_sequence_token5, - ACTIONS(1563), 28, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, + ACTIONS(3862), 1, + aux_sym_conditional_expression_token2, + ACTIONS(3887), 1, + aux_sym_conditional_expression_token4, + ACTIONS(3889), 1, + aux_sym_conditional_expression_token5, + STATE(2213), 1, + aux_sym_conditional_expression_repeat1, + [121706] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3891), 1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - aux_sym_null_hint_token3, + STATE(1643), 1, + sym__constraint_action, + ACTIONS(3893), 2, + aux_sym__constraint_action_token1, + aux_sym__constraint_action_token2, + [121720] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3885), 1, anon_sym_COMMA, + STATE(2215), 1, + aux_sym_select_clause_body_repeat1, + ACTIONS(2846), 2, anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, + aux_sym_where_clause_token1, + [121734] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3862), 1, + aux_sym_conditional_expression_token2, + ACTIONS(3895), 1, + aux_sym_conditional_expression_token4, + ACTIONS(3897), 1, + aux_sym_conditional_expression_token5, + STATE(2213), 1, + aux_sym_conditional_expression_repeat1, + [121750] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3325), 1, + aux_sym_sequence_token1, + ACTIONS(3899), 1, + aux_sym_alter_table_token1, + STATE(1852), 1, + sym_alter_table, + STATE(1867), 1, + sym_sequence, + [121766] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3862), 1, + aux_sym_conditional_expression_token2, + ACTIONS(3901), 1, + aux_sym_conditional_expression_token4, + ACTIONS(3903), 1, + aux_sym_conditional_expression_token5, + STATE(2213), 1, + aux_sym_conditional_expression_repeat1, + [121782] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3891), 1, + aux_sym_alter_table_action_alter_column_token2, + STATE(1609), 1, + sym__constraint_action, + ACTIONS(3905), 2, + aux_sym__constraint_action_token1, + aux_sym__constraint_action_token2, + [121796] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3862), 1, + aux_sym_conditional_expression_token2, + ACTIONS(3907), 1, + aux_sym_conditional_expression_token4, + ACTIONS(3909), 1, + aux_sym_conditional_expression_token5, + STATE(2213), 1, + aux_sym_conditional_expression_repeat1, + [121812] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3862), 1, + aux_sym_conditional_expression_token2, + ACTIONS(3911), 1, + aux_sym_conditional_expression_token4, + ACTIONS(3913), 1, + aux_sym_conditional_expression_token5, + STATE(2213), 1, + aux_sym_conditional_expression_repeat1, + [121828] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3862), 1, + aux_sym_conditional_expression_token2, + ACTIONS(3915), 1, + aux_sym_conditional_expression_token4, + ACTIONS(3917), 1, + aux_sym_conditional_expression_token5, + STATE(2213), 1, + aux_sym_conditional_expression_repeat1, + [121844] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3862), 1, + aux_sym_conditional_expression_token2, + ACTIONS(3919), 1, + aux_sym_conditional_expression_token4, + ACTIONS(3921), 1, + aux_sym_conditional_expression_token5, + STATE(2213), 1, + aux_sym_conditional_expression_repeat1, + [121860] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3862), 1, + aux_sym_conditional_expression_token2, + ACTIONS(3923), 1, + aux_sym_conditional_expression_token4, + ACTIONS(3925), 1, + aux_sym_conditional_expression_token5, + STATE(2213), 1, + aux_sym_conditional_expression_repeat1, + [121876] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3927), 4, + anon_sym_COMMA, aux_sym_grant_statement_token4, aux_sym_grant_statement_token5, aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - [57276] = 16, + [121886] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1053), 1, - anon_sym_RPAREN, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1505), 1, - anon_sym_COMMA, - STATE(1401), 1, - aux_sym_group_by_clause_body_repeat1, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, + STATE(2385), 1, + sym_binary_operator, + ACTIONS(3883), 3, anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [57339] = 16, + anon_sym_AMP_AMP, + anon_sym_PIPE_PIPE, + [121898] = 5, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1505), 1, + ACTIONS(3862), 1, + aux_sym_conditional_expression_token2, + ACTIONS(3929), 1, + aux_sym_conditional_expression_token4, + ACTIONS(3931), 1, + aux_sym_conditional_expression_token5, + STATE(2213), 1, + aux_sym_conditional_expression_repeat1, + [121914] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3862), 1, + aux_sym_conditional_expression_token2, + ACTIONS(3933), 1, + aux_sym_conditional_expression_token4, + ACTIONS(3935), 1, + aux_sym_conditional_expression_token5, + STATE(2213), 1, + aux_sym_conditional_expression_repeat1, + [121930] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3862), 1, + aux_sym_conditional_expression_token2, + ACTIONS(3937), 1, + aux_sym_conditional_expression_token4, + ACTIONS(3939), 1, + aux_sym_conditional_expression_token5, + STATE(2213), 1, + aux_sym_conditional_expression_repeat1, + [121946] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(155), 4, + sym__unquoted_identifier, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DOT, + [121956] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3858), 1, + anon_sym_LPAREN, + ACTIONS(3860), 1, + aux_sym_table_constraint_exclude_token2, + STATE(1737), 1, + sym_index_table_parameters, + STATE(2436), 1, + sym_using_clause, + [121972] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3862), 1, + aux_sym_conditional_expression_token2, + ACTIONS(3941), 1, + aux_sym_conditional_expression_token4, + ACTIONS(3943), 1, + aux_sym_conditional_expression_token5, + STATE(2213), 1, + aux_sym_conditional_expression_repeat1, + [121988] = 5, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3862), 1, + aux_sym_conditional_expression_token2, + ACTIONS(3945), 1, + aux_sym_conditional_expression_token4, + ACTIONS(3947), 1, + aux_sym_conditional_expression_token5, + STATE(2213), 1, + aux_sym_conditional_expression_repeat1, + [122004] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(131), 4, + sym__unquoted_identifier, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DOT, + [122014] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3949), 1, anon_sym_COMMA, - ACTIONS(1567), 1, + STATE(2238), 1, + aux_sym_select_clause_body_repeat1, + ACTIONS(2808), 2, anon_sym_RPAREN, - STATE(1372), 1, - aux_sym_group_by_clause_body_repeat1, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [57402] = 16, + aux_sym_where_clause_token1, + [122028] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1505), 1, + ACTIONS(92), 4, + sym__unquoted_identifier, + anon_sym_BQUOTE, + anon_sym_DQUOTE, + anon_sym_DOT, + [122038] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2408), 1, anon_sym_COMMA, - ACTIONS(1569), 1, + ACTIONS(3952), 1, anon_sym_RPAREN, - STATE(1365), 1, + STATE(2104), 1, aux_sym_group_by_clause_body_repeat1, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [57465] = 3, + [122051] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1573), 1, - aux_sym_sequence_token5, - ACTIONS(1571), 28, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - aux_sym_null_hint_token3, + ACTIONS(3778), 1, + aux_sym_number_token1, + ACTIONS(3954), 1, + anon_sym_RBRACK, + STATE(2594), 1, + sym_number, + [122064] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2408), 1, anon_sym_COMMA, + ACTIONS(3956), 1, anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - [57502] = 16, + STATE(2104), 1, + aux_sym_group_by_clause_body_repeat1, + [122077] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1505), 1, + ACTIONS(2408), 1, anon_sym_COMMA, - ACTIONS(1575), 1, + ACTIONS(3958), 1, anon_sym_RPAREN, - STATE(1445), 1, + STATE(2104), 1, aux_sym_group_by_clause_body_repeat1, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [57565] = 14, + [122090] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1455), 1, - aux_sym_sequence_token2, - ACTIONS(1457), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1463), 1, - aux_sym_is_expression_token1, - ACTIONS(1465), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1467), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1471), 1, - anon_sym_DASH, - ACTIONS(1475), 1, - anon_sym_CARET, - ACTIONS(1479), 1, - anon_sym_SLASH, - ACTIONS(1035), 3, + ACTIONS(3778), 1, + aux_sym_number_token1, + ACTIONS(3960), 1, + anon_sym_RBRACK, + STATE(2584), 1, + sym_number, + [122103] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3124), 1, anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - ACTIONS(1469), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1473), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1477), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1459), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [57624] = 16, + ACTIONS(3811), 1, + aux_sym_where_clause_token1, + STATE(1815), 1, + sym_where_clause, + [122116] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1505), 1, + ACTIONS(2408), 1, anon_sym_COMMA, - ACTIONS(1577), 1, + ACTIONS(3962), 1, anon_sym_RPAREN, - STATE(1429), 1, + STATE(2104), 1, aux_sym_group_by_clause_body_repeat1, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [57687] = 16, + [122129] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1505), 1, + ACTIONS(1955), 1, + anon_sym_RPAREN, + ACTIONS(3964), 1, anon_sym_COMMA, - ACTIONS(1579), 1, + STATE(2247), 1, + aux_sym_index_table_parameters_repeat1, + [122142] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3967), 1, + anon_sym_COMMA, + ACTIONS(3969), 1, anon_sym_RPAREN, - STATE(1451), 1, - aux_sym_group_by_clause_body_repeat1, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [57750] = 16, + STATE(2321), 1, + aux_sym_table_parameters_repeat1, + [122155] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1505), 1, + ACTIONS(2408), 1, anon_sym_COMMA, - ACTIONS(1581), 1, + ACTIONS(3971), 1, anon_sym_RPAREN, - STATE(1393), 1, + STATE(2104), 1, aux_sym_group_by_clause_body_repeat1, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [57813] = 3, + [122168] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1585), 1, - aux_sym_sequence_token5, - ACTIONS(1583), 28, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - aux_sym_null_hint_token3, + ACTIONS(1902), 1, anon_sym_COMMA, + ACTIONS(3973), 1, anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - [57850] = 14, + STATE(2276), 1, + aux_sym_index_table_parameters_repeat1, + [122181] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1455), 1, - aux_sym_sequence_token2, - ACTIONS(1457), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1463), 1, - aux_sym_is_expression_token1, - ACTIONS(1465), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1467), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1471), 1, - anon_sym_DASH, - ACTIONS(1475), 1, - anon_sym_CARET, - ACTIONS(1479), 1, - anon_sym_SLASH, - ACTIONS(1041), 3, + ACTIONS(3975), 1, anon_sym_COMMA, + ACTIONS(3978), 1, anon_sym_RPAREN, - aux_sym_order_by_clause_token1, - ACTIONS(1469), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1473), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1477), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1459), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [57909] = 16, + STATE(2251), 1, + aux_sym_table_constraint_exclude_repeat1, + [122194] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1505), 1, + ACTIONS(1673), 1, + anon_sym_SQUOTE, + ACTIONS(1675), 1, + anon_sym_DOLLAR_DOLLAR, + STATE(338), 1, + sym_string, + [122207] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3967), 1, anon_sym_COMMA, - ACTIONS(1587), 1, + ACTIONS(3980), 1, anon_sym_RPAREN, - STATE(1398), 1, + STATE(2360), 1, + aux_sym_table_parameters_repeat1, + [122220] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(3982), 1, + anon_sym_RPAREN, + STATE(2104), 1, aux_sym_group_by_clause_body_repeat1, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [57972] = 3, + [122233] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1591), 1, - aux_sym_sequence_token5, - ACTIONS(1589), 28, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - aux_sym_null_hint_token3, + ACTIONS(3984), 1, anon_sym_COMMA, + ACTIONS(3987), 1, anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - [58009] = 16, + STATE(2255), 1, + aux_sym_parameters_repeat1, + [122246] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1505), 1, + ACTIONS(2408), 1, anon_sym_COMMA, - ACTIONS(1593), 1, + ACTIONS(3989), 1, anon_sym_RPAREN, - STATE(1368), 1, + STATE(2104), 1, aux_sym_group_by_clause_body_repeat1, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [58072] = 2, + [122259] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1595), 29, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token3, - aux_sym_pg_command_token1, - aux_sym_create_function_statement_token3, - aux_sym_optimizer_hint_token1, - aux_sym_optimizer_hint_token2, - aux_sym_optimizer_hint_token3, - aux_sym_parallel_hint_token1, - aux_sym_parallel_hint_token2, - aux_sym_parallel_hint_token3, - aux_sym_parallel_hint_token4, - aux_sym_null_hint_token1, - aux_sym_null_hint_token5, - aux_sym__function_language_token1, - anon_sym_EQ, + ACTIONS(3778), 1, + aux_sym_number_token1, + ACTIONS(3991), 1, + anon_sym_RBRACK, + STATE(2555), 1, + sym_number, + [122272] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3993), 1, anon_sym_COMMA, + ACTIONS(3995), 1, anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [58107] = 13, + STATE(2291), 1, + aux_sym_table_constraint_exclude_repeat1, + [122285] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1491), 1, - aux_sym_grant_statement_token13, - ACTIONS(1493), 1, - aux_sym_order_by_clause_token1, - ACTIONS(1495), 1, - aux_sym_where_clause_token1, - ACTIONS(1499), 1, - aux_sym_join_type_token1, - ACTIONS(1503), 1, - aux_sym_join_clause_token1, - STATE(1018), 1, - sym_where_clause, - STATE(1049), 1, - sym_group_by_clause, - STATE(1081), 1, - sym_order_by_clause, - STATE(1807), 1, - sym_join_type, - STATE(913), 2, - sym_join_clause, - aux_sym_select_statement_repeat1, - ACTIONS(1501), 3, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - ACTIONS(1597), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [58163] = 13, + ACTIONS(1589), 1, + anon_sym_SQUOTE, + ACTIONS(1591), 1, + anon_sym_DOLLAR_DOLLAR, + STATE(1540), 1, + sym_string, + [122298] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1491), 1, - aux_sym_grant_statement_token13, - ACTIONS(1493), 1, - aux_sym_order_by_clause_token1, - ACTIONS(1495), 1, - aux_sym_where_clause_token1, - ACTIONS(1499), 1, - aux_sym_join_type_token1, - ACTIONS(1503), 1, - aux_sym_join_clause_token1, - STATE(1018), 1, - sym_where_clause, - STATE(1049), 1, - sym_group_by_clause, - STATE(1081), 1, - sym_order_by_clause, - STATE(1807), 1, - sym_join_type, - STATE(962), 2, - sym_join_clause, - aux_sym_select_statement_repeat1, - ACTIONS(1501), 3, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - ACTIONS(1597), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [58219] = 14, + ACTIONS(3669), 1, + anon_sym_EQ, + ACTIONS(3667), 2, + anon_sym_COMMA, + anon_sym_RPAREN, + [122309] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1599), 2, + ACTIONS(3997), 1, anon_sym_COMMA, + ACTIONS(3999), 1, anon_sym_RPAREN, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, + STATE(2294), 1, + aux_sym_create_index_include_clause_repeat1, + [122322] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3673), 1, anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [58277] = 17, + ACTIONS(3671), 2, + anon_sym_COMMA, + anon_sym_RPAREN, + [122333] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(195), 1, - anon_sym_LBRACK, - ACTIONS(1097), 1, - aux_sym_sequence_token5, - ACTIONS(1103), 1, - aux_sym_auto_increment_constraint_token1, - ACTIONS(1107), 1, - aux_sym_time_zone_constraint_token1, - ACTIONS(1113), 1, - aux_sym_table_constraint_unique_token1, - ACTIONS(1115), 1, - aux_sym_table_constraint_primary_key_token1, - ACTIONS(1601), 1, - aux_sym_alter_table_action_alter_column_token3, - ACTIONS(1603), 1, - aux_sym_sequence_token2, - ACTIONS(1605), 1, - aux_sym_null_hint_token3, - ACTIONS(1607), 1, - aux_sym_grant_statement_token9, - ACTIONS(1609), 1, - anon_sym_CONSTRAINT, - ACTIONS(1611), 1, - aux_sym_table_constraint_check_token1, - STATE(820), 1, - sym_NULL, - ACTIONS(1091), 2, + ACTIONS(2408), 1, anon_sym_COMMA, + ACTIONS(4001), 1, anon_sym_RPAREN, - ACTIONS(1105), 2, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - STATE(935), 11, - sym_auto_increment_constraint, - sym_direction_constraint, - sym_time_zone_constraint, - sym_named_constraint, - sym_column_default, - sym_primary_key_constraint, - sym_references_constraint, - sym_unique_constraint, - sym_null_constraint, - sym_check_constraint, - aux_sym_table_column_repeat1, - [58341] = 14, + STATE(2104), 1, + aux_sym_group_by_clause_body_repeat1, + [122346] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1041), 2, + ACTIONS(4003), 1, + anon_sym_COMMA, + ACTIONS(4005), 1, + anon_sym_RPAREN, + STATE(2268), 1, + aux_sym_table_constraint_unique_repeat1, + [122359] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1519), 1, + anon_sym_SQUOTE, + ACTIONS(1521), 1, + anon_sym_DOLLAR_DOLLAR, + STATE(598), 1, + sym_string, + [122372] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4007), 1, + anon_sym_COMMA, + ACTIONS(4010), 1, + anon_sym_RPAREN, + STATE(2266), 1, + aux_sym_table_constraint_unique_repeat1, + [122385] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4003), 1, anon_sym_COMMA, + ACTIONS(4012), 1, anon_sym_RPAREN, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [58399] = 13, + STATE(2266), 1, + aux_sym_table_constraint_unique_repeat1, + [122398] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1491), 1, - aux_sym_grant_statement_token13, - ACTIONS(1493), 1, - aux_sym_order_by_clause_token1, - ACTIONS(1495), 1, - aux_sym_where_clause_token1, - ACTIONS(1499), 1, - aux_sym_join_type_token1, - ACTIONS(1503), 1, - aux_sym_join_clause_token1, - STATE(1016), 1, - sym_where_clause, - STATE(1054), 1, - sym_group_by_clause, - STATE(1089), 1, - sym_order_by_clause, - STATE(1807), 1, - sym_join_type, - STATE(962), 2, - sym_join_clause, - aux_sym_select_statement_repeat1, - ACTIONS(1501), 3, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - ACTIONS(1613), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [58455] = 14, + ACTIONS(4003), 1, + anon_sym_COMMA, + ACTIONS(4014), 1, + anon_sym_RPAREN, + STATE(2266), 1, + aux_sym_table_constraint_unique_repeat1, + [122411] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1615), 2, + ACTIONS(3997), 1, anon_sym_COMMA, + ACTIONS(4016), 1, anon_sym_RPAREN, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [58513] = 14, + STATE(2261), 1, + aux_sym_create_index_include_clause_repeat1, + [122424] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1617), 2, + ACTIONS(4018), 3, + aux_sym_with_clause_token1, anon_sym_COMMA, anon_sym_RPAREN, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [58571] = 14, + [122433] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1619), 2, + ACTIONS(1902), 1, anon_sym_COMMA, + ACTIONS(3973), 1, anon_sym_RPAREN, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [58629] = 4, + STATE(2247), 1, + aux_sym_index_table_parameters_repeat1, + [122446] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1535), 1, - aux_sym_sequence_token5, - ACTIONS(1537), 1, - anon_sym_COLON_COLON, - ACTIONS(1533), 26, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, - aux_sym_null_hint_token3, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - [58667] = 14, + ACTIONS(1229), 1, + anon_sym_SQUOTE, + ACTIONS(1231), 1, + anon_sym_DOLLAR_DOLLAR, + STATE(450), 1, + sym_string, + [122459] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1621), 1, - anon_sym_RBRACK, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [58724] = 14, + ACTIONS(3993), 1, + anon_sym_COMMA, + ACTIONS(4020), 1, + anon_sym_RPAREN, + STATE(2251), 1, + aux_sym_table_constraint_exclude_repeat1, + [122472] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1623), 1, - anon_sym_RPAREN, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [58781] = 14, + ACTIONS(1187), 1, + anon_sym_SQUOTE, + ACTIONS(1189), 1, + anon_sym_DOLLAR_DOLLAR, + STATE(1051), 1, + sym_string, + [122485] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1625), 1, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(4022), 1, anon_sym_RPAREN, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [58838] = 14, + STATE(2104), 1, + aux_sym_group_by_clause_body_repeat1, + [122498] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1627), 1, + ACTIONS(1902), 1, + anon_sym_COMMA, + ACTIONS(4024), 1, anon_sym_RPAREN, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [58895] = 14, + STATE(2247), 1, + aux_sym_index_table_parameters_repeat1, + [122511] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1629), 1, + ACTIONS(3778), 1, + aux_sym_number_token1, + ACTIONS(4026), 1, anon_sym_RBRACK, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [58952] = 14, + STATE(2797), 1, + sym_number, + [122524] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1631), 1, + ACTIONS(4028), 1, + aux_sym_sequence_token4, + ACTIONS(4030), 1, + aux_sym_number_token1, + STATE(1748), 1, + sym_number, + [122537] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(4032), 1, anon_sym_RPAREN, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [59009] = 14, + STATE(2104), 1, + aux_sym_group_by_clause_body_repeat1, + [122550] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1633), 1, + ACTIONS(3778), 1, + aux_sym_number_token1, + ACTIONS(4034), 1, anon_sym_RBRACK, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [59066] = 14, + STATE(2633), 1, + sym_number, + [122563] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1635), 1, - anon_sym_RPAREN, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [59123] = 14, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(4036), 1, + anon_sym_RPAREN, + STATE(2104), 1, + aux_sym_group_by_clause_body_repeat1, + [122576] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1637), 1, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(4038), 1, anon_sym_RPAREN, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [59180] = 14, + STATE(2104), 1, + aux_sym_group_by_clause_body_repeat1, + [122589] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1639), 1, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(4040), 1, anon_sym_RPAREN, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [59237] = 14, + STATE(2104), 1, + aux_sym_group_by_clause_body_repeat1, + [122602] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1641), 1, + ACTIONS(3778), 1, + aux_sym_number_token1, + ACTIONS(4042), 1, anon_sym_RBRACK, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [59294] = 14, + STATE(2519), 1, + sym_number, + [122615] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1643), 1, + ACTIONS(3997), 1, + anon_sym_COMMA, + ACTIONS(4044), 1, + anon_sym_RPAREN, + STATE(2307), 1, + aux_sym_create_index_include_clause_repeat1, + [122628] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1637), 1, + anon_sym_SQUOTE, + ACTIONS(1639), 1, + anon_sym_DOLLAR_DOLLAR, + STATE(700), 1, + sym_string, + [122641] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3778), 1, + aux_sym_number_token1, + ACTIONS(4046), 1, anon_sym_RBRACK, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [59351] = 14, + STATE(2507), 1, + sym_number, + [122654] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1645), 1, + ACTIONS(4048), 1, + aux_sym_cte_token1, + ACTIONS(4050), 1, + anon_sym_LPAREN, + STATE(1886), 1, + sym_table_parameters, + [122667] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(4052), 1, anon_sym_RPAREN, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [59408] = 14, + STATE(2104), 1, + aux_sym_group_by_clause_body_repeat1, + [122680] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1647), 1, - anon_sym_RBRACK, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [59465] = 14, + ACTIONS(3142), 1, + anon_sym_RPAREN, + ACTIONS(3811), 1, + aux_sym_where_clause_token1, + STATE(1806), 1, + sym_where_clause, + [122693] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1649), 1, + ACTIONS(3993), 1, + anon_sym_COMMA, + ACTIONS(4054), 1, + anon_sym_RPAREN, + STATE(2251), 1, + aux_sym_table_constraint_exclude_repeat1, + [122706] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4050), 1, + anon_sym_LPAREN, + ACTIONS(4056), 1, + aux_sym_cte_token1, + STATE(1844), 1, + sym_table_parameters, + [122719] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3778), 1, + aux_sym_number_token1, + ACTIONS(4058), 1, anon_sym_RBRACK, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [59522] = 14, + STATE(2674), 1, + sym_number, + [122732] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1651), 1, + ACTIONS(4060), 1, + anon_sym_COMMA, + ACTIONS(4063), 1, anon_sym_RPAREN, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [59579] = 14, + STATE(2294), 1, + aux_sym_create_index_include_clause_repeat1, + [122745] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1653), 1, - anon_sym_RBRACK, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [59636] = 16, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(4065), 1, + anon_sym_RPAREN, + STATE(2104), 1, + aux_sym_group_by_clause_body_repeat1, + [122758] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1097), 1, - aux_sym_sequence_token5, - ACTIONS(1103), 1, - aux_sym_auto_increment_constraint_token1, - ACTIONS(1107), 1, - aux_sym_time_zone_constraint_token1, - ACTIONS(1113), 1, - aux_sym_table_constraint_unique_token1, - ACTIONS(1115), 1, - aux_sym_table_constraint_primary_key_token1, - ACTIONS(1601), 1, - aux_sym_alter_table_action_alter_column_token3, - ACTIONS(1603), 1, - aux_sym_sequence_token2, - ACTIONS(1605), 1, - aux_sym_null_hint_token3, - ACTIONS(1607), 1, - aux_sym_grant_statement_token9, - ACTIONS(1609), 1, - anon_sym_CONSTRAINT, - ACTIONS(1611), 1, - aux_sym_table_constraint_check_token1, - STATE(820), 1, - sym_NULL, - ACTIONS(1105), 2, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - ACTIONS(1134), 2, + ACTIONS(2408), 1, anon_sym_COMMA, + ACTIONS(4067), 1, anon_sym_RPAREN, - STATE(937), 11, - sym_auto_increment_constraint, - sym_direction_constraint, - sym_time_zone_constraint, - sym_named_constraint, - sym_column_default, - sym_primary_key_constraint, - sym_references_constraint, - sym_unique_constraint, - sym_null_constraint, - sym_check_constraint, - aux_sym_table_column_repeat1, - [59697] = 14, + STATE(2104), 1, + aux_sym_group_by_clause_body_repeat1, + [122771] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1655), 1, + ACTIONS(3082), 1, + aux_sym_limit_clause_token1, + ACTIONS(3130), 1, anon_sym_RPAREN, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [59754] = 16, + STATE(1818), 1, + sym_limit_clause, + [122784] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1174), 1, - aux_sym_sequence_token5, - ACTIONS(1183), 1, - aux_sym_auto_increment_constraint_token1, - ACTIONS(1189), 1, - aux_sym_time_zone_constraint_token1, - ACTIONS(1198), 1, - aux_sym_table_constraint_unique_token1, - ACTIONS(1201), 1, - aux_sym_table_constraint_primary_key_token1, - ACTIONS(1657), 1, - aux_sym_alter_table_action_alter_column_token3, - ACTIONS(1660), 1, - aux_sym_sequence_token2, - ACTIONS(1663), 1, - aux_sym_null_hint_token3, - ACTIONS(1666), 1, - aux_sym_grant_statement_token9, - ACTIONS(1669), 1, - anon_sym_CONSTRAINT, - ACTIONS(1672), 1, - aux_sym_table_constraint_check_token1, - STATE(820), 1, - sym_NULL, - ACTIONS(1166), 2, + ACTIONS(3997), 1, anon_sym_COMMA, + ACTIONS(4069), 1, anon_sym_RPAREN, - ACTIONS(1186), 2, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - STATE(937), 11, - sym_auto_increment_constraint, - sym_direction_constraint, - sym_time_zone_constraint, - sym_named_constraint, - sym_column_default, - sym_primary_key_constraint, - sym_references_constraint, - sym_unique_constraint, - sym_null_constraint, - sym_check_constraint, - aux_sym_table_column_repeat1, - [59815] = 14, + STATE(2318), 1, + aux_sym_create_index_include_clause_repeat1, + [122797] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1675), 1, - anon_sym_RBRACK, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [59872] = 14, + ACTIONS(1471), 1, + anon_sym_SQUOTE, + ACTIONS(1473), 1, + anon_sym_DOLLAR_DOLLAR, + STATE(1495), 1, + sym_string, + [122810] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1677), 1, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(4071), 1, anon_sym_RPAREN, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [59929] = 14, + STATE(2104), 1, + aux_sym_group_by_clause_body_repeat1, + [122823] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1679), 1, + ACTIONS(3325), 1, + aux_sym_sequence_token1, + ACTIONS(4073), 1, + aux_sym_alter_table_token1, + STATE(1860), 1, + sym_sequence, + [122836] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(4075), 1, anon_sym_RPAREN, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [59986] = 14, + STATE(2104), 1, + aux_sym_group_by_clause_body_repeat1, + [122849] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1681), 1, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(4077), 1, anon_sym_RPAREN, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [60043] = 14, + STATE(2104), 1, + aux_sym_group_by_clause_body_repeat1, + [122862] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1683), 1, - anon_sym_RBRACK, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [60100] = 14, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(4079), 1, + anon_sym_RPAREN, + STATE(2104), 1, + aux_sym_group_by_clause_body_repeat1, + [122875] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1685), 1, + ACTIONS(3778), 1, + aux_sym_number_token1, + ACTIONS(4081), 1, anon_sym_RBRACK, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [60157] = 14, + STATE(2885), 1, + sym_number, + [122888] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1687), 1, - anon_sym_RBRACK, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [60214] = 14, + ACTIONS(1103), 1, + anon_sym_SQUOTE, + ACTIONS(1105), 1, + anon_sym_DOLLAR_DOLLAR, + STATE(1329), 1, + sym_string, + [122901] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1689), 1, + ACTIONS(3997), 1, + anon_sym_COMMA, + ACTIONS(4083), 1, + anon_sym_RPAREN, + STATE(2294), 1, + aux_sym_create_index_include_clause_repeat1, + [122914] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3778), 1, + aux_sym_number_token1, + ACTIONS(4085), 1, anon_sym_RBRACK, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [60271] = 14, + STATE(2889), 1, + sym_number, + [122927] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1061), 1, - anon_sym_DASH, - ACTIONS(1063), 1, - anon_sym_CARET, - ACTIONS(1067), 1, - anon_sym_SLASH, - ACTIONS(1073), 1, - aux_sym_boolean_expression_token1, - ACTIONS(1077), 1, - aux_sym_sequence_token2, - ACTIONS(1079), 1, - aux_sym_create_function_parameter_token1, - ACTIONS(1081), 1, - aux_sym_is_expression_token1, - ACTIONS(1083), 1, - aux_sym_boolean_expression_token2, - ACTIONS(1691), 1, + ACTIONS(4087), 1, + anon_sym_COMMA, + ACTIONS(4089), 1, anon_sym_RPAREN, - ACTIONS(1059), 3, - anon_sym_PLUS, - anon_sym_PIPE, - anon_sym_POUND, - ACTIONS(1075), 4, - anon_sym_TILDE, - anon_sym_LT, - anon_sym_GT, - anon_sym_BANG_TILDE, - ACTIONS(1065), 5, - anon_sym_STAR, - anon_sym_PERCENT, - anon_sym_LT_LT, - anon_sym_GT_GT, - anon_sym_AMP, - ACTIONS(1071), 6, - anon_sym_EQ, - anon_sym_LT_EQ, - anon_sym_LT_GT, - anon_sym_GT_EQ, - anon_sym_TILDE_STAR, - anon_sym_BANG_TILDE_STAR, - [60328] = 2, + STATE(2255), 1, + aux_sym_parameters_repeat1, + [122940] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1693), 26, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token3, - aux_sym_pg_command_token1, - aux_sym_create_function_statement_token3, - aux_sym_optimizer_hint_token1, - aux_sym_optimizer_hint_token2, - aux_sym_optimizer_hint_token3, - aux_sym_parallel_hint_token1, - aux_sym_parallel_hint_token2, - aux_sym_parallel_hint_token3, - aux_sym_parallel_hint_token4, - aux_sym_null_hint_token1, - aux_sym_null_hint_token5, - aux_sym__function_language_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [60360] = 2, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(4091), 1, + anon_sym_RPAREN, + STATE(2104), 1, + aux_sym_group_by_clause_body_repeat1, + [122953] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1431), 26, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token3, - aux_sym_pg_command_token1, - aux_sym_create_function_statement_token3, - aux_sym_optimizer_hint_token1, - aux_sym_optimizer_hint_token2, - aux_sym_optimizer_hint_token3, - aux_sym_parallel_hint_token1, - aux_sym_parallel_hint_token2, - aux_sym_parallel_hint_token3, - aux_sym_parallel_hint_token4, - aux_sym_null_hint_token1, - aux_sym_null_hint_token5, - aux_sym__function_language_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [60392] = 2, + ACTIONS(3071), 1, + anon_sym_RPAREN, + ACTIONS(3082), 1, + aux_sym_limit_clause_token1, + STATE(1821), 1, + sym_limit_clause, + [122966] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1695), 26, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token3, - aux_sym_pg_command_token1, - aux_sym_create_function_statement_token3, - aux_sym_optimizer_hint_token1, - aux_sym_optimizer_hint_token2, - aux_sym_optimizer_hint_token3, - aux_sym_parallel_hint_token1, - aux_sym_parallel_hint_token2, - aux_sym_parallel_hint_token3, - aux_sym_parallel_hint_token4, - aux_sym_null_hint_token1, - aux_sym_null_hint_token5, - aux_sym__function_language_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [60424] = 2, + ACTIONS(1744), 1, + anon_sym_SQUOTE, + ACTIONS(1746), 1, + anon_sym_DOLLAR_DOLLAR, + STATE(1199), 1, + sym_string, + [122979] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1697), 26, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token3, - aux_sym_pg_command_token1, - aux_sym_create_function_statement_token3, - aux_sym_optimizer_hint_token1, - aux_sym_optimizer_hint_token2, - aux_sym_optimizer_hint_token3, - aux_sym_parallel_hint_token1, - aux_sym_parallel_hint_token2, - aux_sym_parallel_hint_token3, - aux_sym_parallel_hint_token4, - aux_sym_null_hint_token1, - aux_sym_null_hint_token5, - aux_sym__function_language_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [60456] = 2, + ACTIONS(1139), 1, + anon_sym_SQUOTE, + ACTIONS(1141), 1, + anon_sym_DOLLAR_DOLLAR, + STATE(252), 1, + sym_string, + [122992] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1699), 26, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token3, - aux_sym_pg_command_token1, - aux_sym_create_function_statement_token3, - aux_sym_optimizer_hint_token1, - aux_sym_optimizer_hint_token2, - aux_sym_optimizer_hint_token3, - aux_sym_parallel_hint_token1, - aux_sym_parallel_hint_token2, - aux_sym_parallel_hint_token3, - aux_sym_parallel_hint_token4, - aux_sym_null_hint_token1, - aux_sym_null_hint_token5, - aux_sym__function_language_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [60488] = 2, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(4093), 1, + anon_sym_RPAREN, + STATE(2104), 1, + aux_sym_group_by_clause_body_repeat1, + [123005] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1451), 26, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token3, - aux_sym_pg_command_token1, - aux_sym_create_function_statement_token3, - aux_sym_optimizer_hint_token1, - aux_sym_optimizer_hint_token2, - aux_sym_optimizer_hint_token3, - aux_sym_parallel_hint_token1, - aux_sym_parallel_hint_token2, - aux_sym_parallel_hint_token3, - aux_sym_parallel_hint_token4, - aux_sym_null_hint_token1, - aux_sym_null_hint_token5, - aux_sym__function_language_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [60520] = 2, + ACTIONS(3778), 1, + aux_sym_number_token1, + ACTIONS(4095), 1, + anon_sym_RBRACK, + STATE(2675), 1, + sym_number, + [123018] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1519), 1, + anon_sym_SQUOTE, + ACTIONS(1521), 1, + anon_sym_DOLLAR_DOLLAR, + STATE(1677), 1, + sym_string, + [123031] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4097), 1, + anon_sym_COMMA, + ACTIONS(4100), 1, + anon_sym_RPAREN, + STATE(2317), 1, + aux_sym_create_function_parameters_repeat1, + [123044] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3997), 1, + anon_sym_COMMA, + ACTIONS(4102), 1, + anon_sym_RPAREN, + STATE(2294), 1, + aux_sym_create_index_include_clause_repeat1, + [123057] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2947), 1, + anon_sym_RPAREN, + ACTIONS(3082), 1, + aux_sym_limit_clause_token1, + STATE(1820), 1, + sym_limit_clause, + [123070] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(513), 1, + anon_sym_SQUOTE, + ACTIONS(515), 1, + anon_sym_DOLLAR_DOLLAR, + STATE(1006), 1, + sym_string, + [123083] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1701), 26, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token3, - aux_sym_pg_command_token1, - aux_sym_create_function_statement_token3, - aux_sym_optimizer_hint_token1, - aux_sym_optimizer_hint_token2, - aux_sym_optimizer_hint_token3, - aux_sym_parallel_hint_token1, - aux_sym_parallel_hint_token2, - aux_sym_parallel_hint_token3, - aux_sym_parallel_hint_token4, - aux_sym_null_hint_token1, - aux_sym_null_hint_token5, - aux_sym__function_language_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [60552] = 2, + ACTIONS(4104), 1, + anon_sym_COMMA, + ACTIONS(4107), 1, + anon_sym_RPAREN, + STATE(2321), 1, + aux_sym_table_parameters_repeat1, + [123096] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1415), 26, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token3, - aux_sym_pg_command_token1, - aux_sym_create_function_statement_token3, - aux_sym_optimizer_hint_token1, - aux_sym_optimizer_hint_token2, - aux_sym_optimizer_hint_token3, - aux_sym_parallel_hint_token1, - aux_sym_parallel_hint_token2, - aux_sym_parallel_hint_token3, - aux_sym_parallel_hint_token4, - aux_sym_null_hint_token1, - aux_sym_null_hint_token5, - aux_sym__function_language_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [60584] = 2, + ACTIONS(4050), 1, + anon_sym_LPAREN, + ACTIONS(4109), 1, + aux_sym_cte_token1, + STATE(1848), 1, + sym_table_parameters, + [123109] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1703), 26, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token3, - aux_sym_pg_command_token1, - aux_sym_create_function_statement_token3, - aux_sym_optimizer_hint_token1, - aux_sym_optimizer_hint_token2, - aux_sym_optimizer_hint_token3, - aux_sym_parallel_hint_token1, - aux_sym_parallel_hint_token2, - aux_sym_parallel_hint_token3, - aux_sym_parallel_hint_token4, - aux_sym_null_hint_token1, - aux_sym_null_hint_token5, - aux_sym__function_language_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [60616] = 2, + ACTIONS(4111), 1, + anon_sym_COMMA, + ACTIONS(4113), 1, + anon_sym_RPAREN, + STATE(2358), 1, + aux_sym_create_function_parameters_repeat1, + [123122] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1705), 26, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token3, - aux_sym_pg_command_token1, - aux_sym_create_function_statement_token3, - aux_sym_optimizer_hint_token1, - aux_sym_optimizer_hint_token2, - aux_sym_optimizer_hint_token3, - aux_sym_parallel_hint_token1, - aux_sym_parallel_hint_token2, - aux_sym_parallel_hint_token3, - aux_sym_parallel_hint_token4, - aux_sym_null_hint_token1, - aux_sym_null_hint_token5, - aux_sym__function_language_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [60648] = 2, + ACTIONS(4003), 1, + anon_sym_COMMA, + ACTIONS(4115), 1, + anon_sym_RPAREN, + STATE(2267), 1, + aux_sym_table_constraint_unique_repeat1, + [123135] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1707), 25, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, + ACTIONS(2408), 1, anon_sym_COMMA, + ACTIONS(4117), 1, anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, + STATE(2104), 1, + aux_sym_group_by_clause_body_repeat1, + [123148] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3778), 1, + aux_sym_number_token1, + ACTIONS(4119), 1, + anon_sym_RBRACK, + STATE(2715), 1, + sym_number, + [123161] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2680), 1, + anon_sym_RPAREN, + ACTIONS(3082), 1, + aux_sym_limit_clause_token1, + STATE(1827), 1, + sym_limit_clause, + [123174] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(29), 1, aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - [60679] = 4, + STATE(1592), 1, + sym_select_clause, + STATE(1833), 1, + sym__select_statement, + [123187] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1711), 1, + ACTIONS(2408), 1, anon_sym_COMMA, - STATE(958), 1, - aux_sym_select_clause_body_repeat1, - ACTIONS(1709), 23, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - [60714] = 4, + ACTIONS(4121), 1, + anon_sym_RPAREN, + STATE(2104), 1, + aux_sym_group_by_clause_body_repeat1, + [123200] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3778), 1, + aux_sym_number_token1, + ACTIONS(4123), 1, + anon_sym_RBRACK, + STATE(3070), 1, + sym_number, + [123213] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1716), 1, + ACTIONS(3108), 1, + anon_sym_RPAREN, + ACTIONS(4125), 2, anon_sym_COMMA, - STATE(958), 1, - aux_sym_select_clause_body_repeat1, - ACTIONS(1714), 23, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - [60749] = 2, + aux_sym_limit_clause_token2, + [123224] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1718), 25, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, + ACTIONS(2408), 1, anon_sym_COMMA, + ACTIONS(4127), 1, anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - [60780] = 4, + STATE(2104), 1, + aux_sym_group_by_clause_body_repeat1, + [123237] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3778), 1, + aux_sym_number_token1, + ACTIONS(4129), 1, + anon_sym_RBRACK, + STATE(3006), 1, + sym_number, + [123250] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1716), 1, + ACTIONS(2408), 1, anon_sym_COMMA, - STATE(959), 1, - aux_sym_select_clause_body_repeat1, - ACTIONS(1720), 23, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - [60815] = 7, + ACTIONS(4131), 1, + anon_sym_RPAREN, + STATE(2104), 1, + aux_sym_group_by_clause_body_repeat1, + [123263] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1724), 1, - aux_sym_join_type_token1, - ACTIONS(1730), 1, - aux_sym_join_clause_token1, - STATE(1807), 1, - sym_join_type, - STATE(962), 2, - sym_join_clause, - aux_sym_select_statement_repeat1, - ACTIONS(1727), 3, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - ACTIONS(1722), 17, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - [60856] = 2, + ACTIONS(61), 1, + anon_sym_SQUOTE, + ACTIONS(63), 1, + anon_sym_DOLLAR_DOLLAR, + STATE(151), 1, + sym_string, + [123276] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1709), 25, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, + ACTIONS(3993), 1, anon_sym_COMMA, + ACTIONS(4133), 1, anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - [60887] = 4, + STATE(2273), 1, + aux_sym_table_constraint_exclude_repeat1, + [123289] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1735), 1, + ACTIONS(2408), 1, anon_sym_COMMA, - STATE(967), 1, - aux_sym_select_clause_body_repeat1, - ACTIONS(1733), 22, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - [60921] = 4, + ACTIONS(4135), 1, + anon_sym_RPAREN, + STATE(2104), 1, + aux_sym_group_by_clause_body_repeat1, + [123302] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4050), 1, + anon_sym_LPAREN, + ACTIONS(4137), 1, + aux_sym_cte_token1, + STATE(1880), 1, + sym_table_parameters, + [123315] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1354), 1, + anon_sym_SQUOTE, + ACTIONS(1356), 1, + anon_sym_DOLLAR_DOLLAR, + STATE(1290), 1, + sym_string, + [123328] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1735), 1, + ACTIONS(2408), 1, anon_sym_COMMA, - STATE(964), 1, - aux_sym_select_clause_body_repeat1, - ACTIONS(1737), 22, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - [60955] = 2, + ACTIONS(4139), 1, + anon_sym_RPAREN, + STATE(2104), 1, + aux_sym_group_by_clause_body_repeat1, + [123341] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1739), 24, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(4141), 1, anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - [60985] = 4, + STATE(2104), 1, + aux_sym_group_by_clause_body_repeat1, + [123354] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1741), 1, + ACTIONS(2408), 1, anon_sym_COMMA, - STATE(967), 1, - aux_sym_select_clause_body_repeat1, - ACTIONS(1709), 22, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - [61019] = 10, + ACTIONS(4143), 1, + anon_sym_RPAREN, + STATE(2104), 1, + aux_sym_group_by_clause_body_repeat1, + [123367] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(227), 1, - sym__unquoted_identifier, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(1748), 1, - aux_sym_sequence_token5, - STATE(10), 1, - sym__quoted_identifier, - STATE(142), 1, - sym_identifier, - STATE(1122), 2, - sym_dotted_name, - sym__identifier, - ACTIONS(1744), 3, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_pg_command_token1, - ACTIONS(1746), 11, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [61063] = 8, + ACTIONS(1413), 1, + anon_sym_SQUOTE, + ACTIONS(1415), 1, + anon_sym_DOLLAR_DOLLAR, + STATE(231), 1, + sym_string, + [123380] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(195), 1, - anon_sym_LBRACK, - ACTIONS(1111), 1, - aux_sym_table_constraint_check_token1, - ACTIONS(1419), 1, - aux_sym_null_hint_token3, - ACTIONS(1752), 1, - aux_sym_sequence_token2, - STATE(820), 1, - sym_NULL, - STATE(975), 3, - sym_null_constraint, - sym_check_constraint, - aux_sym_create_domain_statement_repeat1, - ACTIONS(1750), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [61103] = 7, + ACTIONS(3967), 1, + anon_sym_COMMA, + ACTIONS(4145), 1, + anon_sym_RPAREN, + STATE(2248), 1, + aux_sym_table_parameters_repeat1, + [123393] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1756), 1, - aux_sym_sequence_token2, - ACTIONS(1758), 1, - aux_sym_mode_token1, - ACTIONS(1760), 1, - aux_sym_initial_mode_token1, - STATE(1021), 1, - sym_mode, - STATE(1062), 1, - sym_initial_mode, - ACTIONS(1754), 16, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, + ACTIONS(2714), 1, + anon_sym_RPAREN, + ACTIONS(3082), 1, + aux_sym_limit_clause_token1, + STATE(1831), 1, + sym_limit_clause, + [123406] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(4147), 1, + anon_sym_RPAREN, + STATE(2104), 1, + aux_sym_group_by_clause_body_repeat1, + [123419] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4149), 1, + aux_sym_with_clause_token1, + ACTIONS(4151), 2, anon_sym_COMMA, anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [61140] = 9, + [123430] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1764), 1, - aux_sym_sequence_token3, - ACTIONS(1766), 1, - aux_sym_sequence_token4, - ACTIONS(1768), 1, - aux_sym_sequence_token6, - ACTIONS(1770), 1, - aux_sym_sequence_token8, - ACTIONS(1772), 1, - aux_sym_sequence_token11, - ACTIONS(1774), 1, - aux_sym_sequence_token12, - STATE(993), 1, - aux_sym_sequence_repeat1, - ACTIONS(1762), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [61181] = 9, + ACTIONS(2408), 1, + anon_sym_COMMA, + ACTIONS(4153), 1, + anon_sym_RPAREN, + STATE(2104), 1, + aux_sym_group_by_clause_body_repeat1, + [123443] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(227), 1, - sym__unquoted_identifier, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - STATE(10), 1, - sym__quoted_identifier, - STATE(142), 1, - sym_identifier, - STATE(1118), 2, - sym_dotted_name, - sym__identifier, - ACTIONS(1776), 3, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_pg_command_token1, - ACTIONS(1778), 11, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [61222] = 9, + ACTIONS(3778), 1, + aux_sym_number_token1, + ACTIONS(4155), 1, + anon_sym_RBRACK, + STATE(3256), 1, + sym_number, + [123456] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1766), 1, - aux_sym_sequence_token4, - ACTIONS(1768), 1, - aux_sym_sequence_token6, - ACTIONS(1770), 1, - aux_sym_sequence_token8, - ACTIONS(1772), 1, - aux_sym_sequence_token11, - ACTIONS(1774), 1, - aux_sym_sequence_token12, - ACTIONS(1782), 1, - aux_sym_sequence_token3, - STATE(995), 1, - aux_sym_sequence_repeat1, - ACTIONS(1780), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, + ACTIONS(3361), 1, aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [61263] = 7, + STATE(1769), 1, + sym_select_clause, + STATE(1833), 1, + sym__select_statement, + [123469] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1786), 1, - aux_sym_sequence_token2, - ACTIONS(1789), 1, - aux_sym_null_hint_token3, - ACTIONS(1792), 1, - aux_sym_table_constraint_check_token1, - STATE(820), 1, - sym_NULL, - STATE(974), 3, - sym_null_constraint, - sym_check_constraint, - aux_sym_create_domain_statement_repeat1, - ACTIONS(1784), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [61300] = 7, + ACTIONS(859), 1, + anon_sym_SQUOTE, + ACTIONS(861), 1, + anon_sym_DOLLAR_DOLLAR, + STATE(581), 1, + sym_string, + [123482] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1111), 1, - aux_sym_table_constraint_check_token1, - ACTIONS(1419), 1, - aux_sym_null_hint_token3, - ACTIONS(1752), 1, - aux_sym_sequence_token2, - STATE(820), 1, - sym_NULL, - STATE(974), 3, - sym_null_constraint, - sym_check_constraint, - aux_sym_create_domain_statement_repeat1, - ACTIONS(1795), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [61337] = 7, + ACTIONS(4087), 1, + anon_sym_COMMA, + ACTIONS(4157), 1, + anon_sym_RPAREN, + STATE(2309), 1, + aux_sym_parameters_repeat1, + [123495] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1756), 1, - aux_sym_sequence_token2, - ACTIONS(1758), 1, - aux_sym_mode_token1, - ACTIONS(1760), 1, - aux_sym_initial_mode_token1, - STATE(1020), 1, - sym_mode, - STATE(1061), 1, - sym_initial_mode, - ACTIONS(1797), 16, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, + ACTIONS(3778), 1, + aux_sym_number_token1, + ACTIONS(4159), 1, + anon_sym_RBRACK, + STATE(2756), 1, + sym_number, + [123508] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2408), 1, anon_sym_COMMA, + ACTIONS(4161), 1, anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [61374] = 9, + STATE(2104), 1, + aux_sym_group_by_clause_body_repeat1, + [123521] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1766), 1, - aux_sym_sequence_token4, - ACTIONS(1768), 1, - aux_sym_sequence_token6, - ACTIONS(1770), 1, - aux_sym_sequence_token8, - ACTIONS(1772), 1, - aux_sym_sequence_token11, - ACTIONS(1774), 1, - aux_sym_sequence_token12, - ACTIONS(1801), 1, - aux_sym_sequence_token3, - STATE(994), 1, - aux_sym_sequence_repeat1, - ACTIONS(1799), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [61415] = 13, + ACTIONS(3647), 1, + anon_sym_EQ, + ACTIONS(3645), 2, + anon_sym_COMMA, + anon_sym_RPAREN, + [123532] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(227), 1, - sym__unquoted_identifier, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(415), 1, - anon_sym_DOT, - STATE(10), 1, - sym__quoted_identifier, - STATE(142), 1, - sym_identifier, - STATE(146), 1, - aux_sym_dotted_name_repeat1, - STATE(1388), 1, - sym_constrained_type, - ACTIONS(87), 2, - aux_sym_sequence_token2, - aux_sym_null_hint_token3, - STATE(673), 2, - sym_dotted_name, - sym__identifier, - STATE(1214), 3, - sym_type, - sym_array_type, - sym__type, - ACTIONS(85), 5, - anon_sym_EQ, + ACTIONS(4163), 1, + aux_sym_cte_token2, + ACTIONS(4165), 1, + aux_sym_cte_token3, + ACTIONS(4167), 1, anon_sym_LPAREN, + [123545] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(1067), 1, + anon_sym_SQUOTE, + ACTIONS(1069), 1, + anon_sym_DOLLAR_DOLLAR, + STATE(1452), 1, + sym_string, + [123558] = 4, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4111), 1, anon_sym_COMMA, + ACTIONS(4169), 1, anon_sym_RPAREN, - anon_sym_LBRACK, - [61463] = 8, + STATE(2317), 1, + aux_sym_create_function_parameters_repeat1, + [123571] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1766), 1, - aux_sym_sequence_token4, - ACTIONS(1768), 1, - aux_sym_sequence_token6, - ACTIONS(1770), 1, - aux_sym_sequence_token8, - ACTIONS(1772), 1, - aux_sym_sequence_token11, - ACTIONS(1774), 1, - aux_sym_sequence_token12, - STATE(983), 1, - aux_sym_sequence_repeat1, - ACTIONS(1803), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [61501] = 5, + ACTIONS(3623), 1, + anon_sym_EQ, + ACTIONS(3617), 2, + anon_sym_COMMA, + anon_sym_RPAREN, + [123582] = 4, ACTIONS(3), 1, sym_comment, - ACTIONS(1805), 1, - anon_sym_DOT, - STATE(996), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(85), 5, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_pg_command_token1, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - ACTIONS(87), 13, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token5, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - sym__unquoted_identifier, - [61533] = 8, + ACTIONS(3967), 1, + anon_sym_COMMA, + ACTIONS(4171), 1, + anon_sym_RPAREN, + STATE(2321), 1, + aux_sym_table_parameters_repeat1, + [123595] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1809), 1, - aux_sym_sequence_token5, - ACTIONS(1811), 1, - aux_sym_create_index_include_clause_token1, - ACTIONS(1813), 1, - aux_sym_where_clause_token1, - STATE(1019), 1, - sym_create_index_include_clause, - STATE(1038), 1, - sym_create_index_with_clause, - STATE(1092), 1, - sym_where_clause, - ACTIONS(1807), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [61571] = 8, + ACTIONS(3862), 1, + aux_sym_conditional_expression_token2, + STATE(2227), 1, + aux_sym_conditional_expression_repeat1, + [123605] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1809), 1, - aux_sym_sequence_token5, - ACTIONS(1811), 1, - aux_sym_create_index_include_clause_token1, - ACTIONS(1813), 1, - aux_sym_where_clause_token1, - STATE(1017), 1, - sym_create_index_include_clause, - STATE(1063), 1, - sym_create_index_with_clause, - STATE(1101), 1, - sym_where_clause, - ACTIONS(1815), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [61609] = 8, + ACTIONS(4173), 1, + anon_sym_LPAREN, + STATE(601), 1, + sym_tuple, + [123615] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1766), 1, - aux_sym_sequence_token4, - ACTIONS(1768), 1, - aux_sym_sequence_token6, - ACTIONS(1770), 1, - aux_sym_sequence_token8, - ACTIONS(1772), 1, - aux_sym_sequence_token11, - ACTIONS(1774), 1, - aux_sym_sequence_token12, - STATE(987), 1, - aux_sym_sequence_repeat1, - ACTIONS(1817), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [61647] = 8, + ACTIONS(2569), 1, + aux_sym_null_hint_token3, + STATE(1665), 1, + sym_NULL, + [123625] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1766), 1, - aux_sym_sequence_token4, - ACTIONS(1768), 1, - aux_sym_sequence_token6, - ACTIONS(1770), 1, - aux_sym_sequence_token8, - ACTIONS(1772), 1, - aux_sym_sequence_token11, - ACTIONS(1774), 1, - aux_sym_sequence_token12, - STATE(994), 1, - aux_sym_sequence_repeat1, - ACTIONS(1799), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [61685] = 16, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(1816), 1, + sym_number, + [123635] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1819), 1, - aux_sym__table_constraint_token1, - ACTIONS(1821), 1, - aux_sym_table_constraint_check_token1, - ACTIONS(1823), 1, - aux_sym_table_constraint_exclude_token1, - ACTIONS(1825), 1, - aux_sym_table_constraint_foreign_key_token1, - ACTIONS(1827), 1, - aux_sym_table_constraint_unique_token1, - ACTIONS(1829), 1, - aux_sym_table_constraint_primary_key_token1, - ACTIONS(1831), 1, - sym__unquoted_identifier, - ACTIONS(1833), 1, - anon_sym_BQUOTE, - ACTIONS(1835), 1, - anon_sym_DQUOTE, - STATE(1331), 1, - sym_identifier, - STATE(1353), 1, - sym__quoted_identifier, - STATE(1449), 1, - sym__table_constraint, - STATE(1455), 1, - sym_table_column, - STATE(1178), 2, - sym_dotted_name, - sym__identifier, - STATE(970), 5, - sym_table_constraint_check, - sym_table_constraint_exclude, - sym_table_constraint_foreign_key, - sym_table_constraint_unique, - sym_table_constraint_primary_key, - [61739] = 13, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(2822), 1, + sym_number, + [123645] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(227), 1, - sym__unquoted_identifier, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(415), 1, - anon_sym_DOT, - STATE(10), 1, - sym__quoted_identifier, - STATE(142), 1, - sym_identifier, - STATE(146), 1, - aux_sym_dotted_name_repeat1, - STATE(1420), 1, - sym_constrained_type, - ACTIONS(87), 2, - aux_sym_sequence_token2, - aux_sym_null_hint_token3, - STATE(673), 2, - sym_dotted_name, - sym__identifier, - STATE(1239), 3, - sym_type, - sym_array_type, - sym__type, - ACTIONS(85), 5, - anon_sym_EQ, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(2819), 1, + sym_number, + [123655] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(2816), 1, + sym_number, + [123665] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(2803), 1, + sym_number, + [123675] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(2800), 1, + sym_number, + [123685] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(2795), 1, + sym_number, + [123695] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4175), 1, anon_sym_LPAREN, - anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_LBRACK, - [61787] = 8, + STATE(1509), 1, + sym_tuple, + [123705] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1839), 1, - aux_sym_sequence_token4, - ACTIONS(1842), 1, - aux_sym_sequence_token6, - ACTIONS(1845), 1, - aux_sym_sequence_token8, - ACTIONS(1848), 1, - aux_sym_sequence_token11, - ACTIONS(1851), 1, - aux_sym_sequence_token12, - STATE(987), 1, - aux_sym_sequence_repeat1, - ACTIONS(1837), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [61825] = 8, + ACTIONS(3862), 1, + aux_sym_conditional_expression_token2, + STATE(2209), 1, + aux_sym_conditional_expression_repeat1, + [123715] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1809), 1, - aux_sym_sequence_token5, - ACTIONS(1811), 1, - aux_sym_create_index_include_clause_token1, - ACTIONS(1813), 1, - aux_sym_where_clause_token1, - STATE(1022), 1, - sym_create_index_include_clause, - STATE(1060), 1, - sym_create_index_with_clause, - STATE(1137), 1, - sym_where_clause, - ACTIONS(1854), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [61863] = 5, + ACTIONS(4175), 1, + anon_sym_LPAREN, + STATE(1425), 1, + sym_tuple, + [123725] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1856), 1, - anon_sym_DOT, - STATE(989), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(109), 5, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_pg_command_token1, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - ACTIONS(111), 13, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token5, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - sym__unquoted_identifier, - [61895] = 8, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(2762), 1, + sym_number, + [123735] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(2759), 1, + sym_number, + [123745] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(2754), 1, + sym_number, + [123755] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3862), 1, + aux_sym_conditional_expression_token2, + STATE(2230), 1, + aux_sym_conditional_expression_repeat1, + [123765] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(2861), 1, + aux_sym_null_hint_token3, + STATE(1665), 1, + sym_NULL, + [123775] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(2721), 1, + sym_number, + [123785] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(2718), 1, + sym_number, + [123795] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(2713), 1, + sym_number, + [123805] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4177), 1, + aux_sym_grant_statement_token8, + STATE(1731), 1, + sym_references_constraint, + [123815] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1809), 1, - aux_sym_sequence_token5, - ACTIONS(1811), 1, - aux_sym_create_index_include_clause_token1, - ACTIONS(1813), 1, - aux_sym_where_clause_token1, - STATE(1023), 1, - sym_create_index_include_clause, - STATE(1050), 1, - sym_create_index_with_clause, - STATE(1144), 1, - sym_where_clause, - ACTIONS(1859), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [61933] = 16, + ACTIONS(4063), 2, + anon_sym_COMMA, + anon_sym_RPAREN, + [123823] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1819), 1, - aux_sym__table_constraint_token1, - ACTIONS(1821), 1, - aux_sym_table_constraint_check_token1, - ACTIONS(1823), 1, - aux_sym_table_constraint_exclude_token1, - ACTIONS(1825), 1, - aux_sym_table_constraint_foreign_key_token1, - ACTIONS(1827), 1, - aux_sym_table_constraint_unique_token1, - ACTIONS(1829), 1, - aux_sym_table_constraint_primary_key_token1, - ACTIONS(1831), 1, - sym__unquoted_identifier, - ACTIONS(1833), 1, - anon_sym_BQUOTE, - ACTIONS(1835), 1, - anon_sym_DQUOTE, - STATE(1331), 1, - sym_identifier, - STATE(1353), 1, - sym__quoted_identifier, - STATE(1506), 1, - sym_table_column, - STATE(1508), 1, - sym__table_constraint, - STATE(1178), 2, - sym_dotted_name, - sym__identifier, - STATE(970), 5, - sym_table_constraint_check, - sym_table_constraint_exclude, - sym_table_constraint_foreign_key, - sym_table_constraint_unique, - sym_table_constraint_primary_key, - [61987] = 8, + ACTIONS(3862), 1, + aux_sym_conditional_expression_token2, + STATE(2219), 1, + aux_sym_conditional_expression_repeat1, + [123833] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1766), 1, - aux_sym_sequence_token4, - ACTIONS(1768), 1, - aux_sym_sequence_token6, - ACTIONS(1770), 1, - aux_sym_sequence_token8, - ACTIONS(1772), 1, - aux_sym_sequence_token11, - ACTIONS(1774), 1, - aux_sym_sequence_token12, - STATE(997), 1, - aux_sym_sequence_repeat1, - ACTIONS(1861), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [62025] = 8, + ACTIONS(4179), 2, + anon_sym_COMMA, + anon_sym_RPAREN, + [123841] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1766), 1, - aux_sym_sequence_token4, - ACTIONS(1768), 1, - aux_sym_sequence_token6, - ACTIONS(1770), 1, - aux_sym_sequence_token8, - ACTIONS(1772), 1, - aux_sym_sequence_token11, - ACTIONS(1774), 1, - aux_sym_sequence_token12, - STATE(987), 1, - aux_sym_sequence_repeat1, - ACTIONS(1863), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [62063] = 8, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(2680), 1, + sym_number, + [123851] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1766), 1, - aux_sym_sequence_token4, - ACTIONS(1768), 1, - aux_sym_sequence_token6, - ACTIONS(1770), 1, - aux_sym_sequence_token8, - ACTIONS(1772), 1, - aux_sym_sequence_token11, - ACTIONS(1774), 1, - aux_sym_sequence_token12, - STATE(987), 1, - aux_sym_sequence_repeat1, - ACTIONS(1780), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [62101] = 8, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(2677), 1, + sym_number, + [123861] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1766), 1, - aux_sym_sequence_token4, - ACTIONS(1768), 1, - aux_sym_sequence_token6, - ACTIONS(1770), 1, - aux_sym_sequence_token8, - ACTIONS(1772), 1, - aux_sym_sequence_token11, - ACTIONS(1774), 1, - aux_sym_sequence_token12, - STATE(987), 1, - aux_sym_sequence_repeat1, - ACTIONS(1861), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [62139] = 5, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(2672), 1, + sym_number, + [123871] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1805), 1, - anon_sym_DOT, - STATE(989), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(79), 5, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_pg_command_token1, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - ACTIONS(81), 13, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token5, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - sym__unquoted_identifier, - [62171] = 8, + ACTIONS(3862), 1, + aux_sym_conditional_expression_token2, + STATE(2223), 1, + aux_sym_conditional_expression_repeat1, + [123881] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1766), 1, - aux_sym_sequence_token4, - ACTIONS(1768), 1, - aux_sym_sequence_token6, - ACTIONS(1770), 1, - aux_sym_sequence_token8, - ACTIONS(1772), 1, - aux_sym_sequence_token11, - ACTIONS(1774), 1, - aux_sym_sequence_token12, - STATE(987), 1, - aux_sym_sequence_repeat1, - ACTIONS(1803), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [62209] = 2, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(2639), 1, + sym_number, + [123891] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1865), 19, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token4, - aux_sym_sequence_token6, - aux_sym_sequence_token8, - aux_sym_sequence_token11, - aux_sym_sequence_token12, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [62234] = 2, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(2636), 1, + sym_number, + [123901] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(297), 19, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token4, - aux_sym_sequence_token6, - aux_sym_sequence_token8, - aux_sym_sequence_token11, - aux_sym_sequence_token12, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [62259] = 2, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(2631), 1, + sym_number, + [123911] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1867), 19, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, + ACTIONS(4181), 2, anon_sym_COMMA, anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - [62284] = 3, + [123919] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(109), 6, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_pg_command_token1, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_DOT, - ACTIONS(111), 13, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token5, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, + ACTIONS(3862), 1, + aux_sym_conditional_expression_token2, + STATE(2216), 1, + aux_sym_conditional_expression_repeat1, + [123929] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(2600), 1, + sym_number, + [123939] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(2597), 1, + sym_number, + [123949] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(2592), 1, + sym_number, + [123959] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4183), 1, aux_sym_grant_statement_token5, + ACTIONS(4185), 1, aux_sym_grant_statement_token6, - sym__unquoted_identifier, - [62311] = 2, + [123969] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1869), 19, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, + ACTIONS(4010), 2, anon_sym_COMMA, anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - [62336] = 2, + [123977] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1871), 19, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, + ACTIONS(3862), 1, + aux_sym_conditional_expression_token2, + STATE(2231), 1, + aux_sym_conditional_expression_repeat1, + [123987] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4177), 1, + aux_sym_grant_statement_token8, + STATE(1744), 1, + sym_references_constraint, + [123997] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(2561), 1, + sym_number, + [124007] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(2558), 1, + sym_number, + [124017] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(2553), 1, + sym_number, + [124027] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4187), 2, anon_sym_COMMA, anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - [62361] = 2, + [124035] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1873), 19, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, + ACTIONS(3325), 1, + aux_sym_sequence_token1, + STATE(1860), 1, + sym_sequence, + [124045] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3862), 1, + aux_sym_conditional_expression_token2, + STATE(2224), 1, + aux_sym_conditional_expression_repeat1, + [124055] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3042), 1, + aux_sym_from_clause_token1, + STATE(1805), 1, + sym_from_clause, + [124065] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(2525), 1, + sym_number, + [124075] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(2522), 1, + sym_number, + [124085] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4189), 1, + anon_sym_LPAREN, + STATE(240), 1, + sym_tuple, + [124095] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(2517), 1, + sym_number, + [124105] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3978), 2, anon_sym_COMMA, anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - [62386] = 2, + [124113] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1875), 19, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, + ACTIONS(3862), 1, + aux_sym_conditional_expression_token2, + STATE(2212), 1, + aux_sym_conditional_expression_repeat1, + [124123] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4189), 1, + anon_sym_LPAREN, + STATE(254), 1, + sym_tuple, + [124133] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(2790), 1, + sym_number, + [124143] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(2848), 1, + sym_number, + [124153] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(2893), 1, + sym_number, + [124163] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3987), 2, anon_sym_COMMA, anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - [62411] = 2, + [124171] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1877), 19, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, + ACTIONS(3862), 1, + aux_sym_conditional_expression_token2, + STATE(2221), 1, + aux_sym_conditional_expression_repeat1, + [124181] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4191), 1, + anon_sym_LPAREN, + STATE(265), 1, + sym_tuple, + [124191] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(3043), 1, + sym_number, + [124201] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(3059), 1, + sym_number, + [124211] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(3076), 1, + sym_number, + [124221] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4193), 2, anon_sym_COMMA, anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - [62436] = 2, + [124229] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1837), 19, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token4, - aux_sym_sequence_token6, - aux_sym_sequence_token8, - aux_sym_sequence_token11, - aux_sym_sequence_token12, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [62461] = 2, + ACTIONS(3862), 1, + aux_sym_conditional_expression_token2, + STATE(2232), 1, + aux_sym_conditional_expression_repeat1, + [124239] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1879), 19, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(3230), 1, + sym_number, + [124249] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(3232), 1, + sym_number, + [124259] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(3248), 1, + sym_number, + [124269] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(3262), 1, + sym_number, + [124279] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3862), 1, + aux_sym_conditional_expression_token2, + STATE(2236), 1, + aux_sym_conditional_expression_repeat1, + [124289] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(3178), 1, + sym_number, + [124299] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(3151), 1, + sym_number, + [124309] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(3122), 1, + sym_number, + [124319] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4195), 2, + aux_sym_alter_table_rename_column_token2, + anon_sym_EQ, + [124327] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3858), 1, + anon_sym_LPAREN, + STATE(1732), 1, + sym_index_table_parameters, + [124337] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3862), 1, + aux_sym_conditional_expression_token2, + STATE(2226), 1, + aux_sym_conditional_expression_repeat1, + [124347] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(2716), 1, + sym_number, + [124357] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4191), 1, + anon_sym_LPAREN, + STATE(233), 1, + sym_tuple, + [124367] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(2658), 1, + sym_number, + [124377] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(2510), 1, + sym_number, + [124387] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(3693), 2, anon_sym_COMMA, anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - [62486] = 2, + [124395] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1881), 19, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token4, - aux_sym_sequence_token6, - aux_sym_sequence_token8, - aux_sym_sequence_token11, - aux_sym_sequence_token12, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [62511] = 2, + ACTIONS(3490), 1, + aux_sym_null_hint_token2, + ACTIONS(4197), 1, + aux_sym_grant_statement_token3, + [124405] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1883), 19, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, + ACTIONS(3862), 1, + aux_sym_conditional_expression_token2, + STATE(2211), 1, + aux_sym_conditional_expression_repeat1, + [124415] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4100), 2, anon_sym_COMMA, anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - [62536] = 3, + [124423] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(67), 6, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_pg_command_token1, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_DOT, - ACTIONS(69), 13, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token5, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - sym__unquoted_identifier, - [62563] = 3, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(2576), 1, + sym_number, + [124433] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(75), 6, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_pg_command_token1, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_DOT, - ACTIONS(77), 13, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token5, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - sym__unquoted_identifier, - [62590] = 2, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(2581), 1, + sym_number, + [124443] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1885), 19, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(2588), 1, + sym_number, + [124453] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4199), 2, anon_sym_COMMA, anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - [62615] = 2, + [124461] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1887), 19, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token4, - aux_sym_sequence_token6, - aux_sym_sequence_token8, - aux_sym_sequence_token11, - aux_sym_sequence_token12, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [62640] = 2, + ACTIONS(3862), 1, + aux_sym_conditional_expression_token2, + STATE(2235), 1, + aux_sym_conditional_expression_repeat1, + [124471] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1889), 19, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token2, - aux_sym_pg_command_token1, + ACTIONS(4201), 2, anon_sym_COMMA, anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_mode_token1, - aux_sym_initial_mode_token1, - [62665] = 6, + [124479] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1491), 1, - aux_sym_grant_statement_token13, - ACTIONS(1493), 1, - aux_sym_order_by_clause_token1, - STATE(1046), 1, - sym_group_by_clause, - STATE(1083), 1, - sym_order_by_clause, - ACTIONS(1891), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [62697] = 6, + ACTIONS(3862), 1, + aux_sym_conditional_expression_token2, + STATE(2225), 1, + aux_sym_conditional_expression_repeat1, + [124489] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1809), 1, - aux_sym_sequence_token5, - ACTIONS(1813), 1, - aux_sym_where_clause_token1, - STATE(1037), 1, - sym_create_index_with_clause, - STATE(1148), 1, - sym_where_clause, - ACTIONS(1893), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [62729] = 6, + ACTIONS(4203), 1, + anon_sym_LPAREN, + STATE(1019), 1, + sym_tuple, + [124499] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1491), 1, - aux_sym_grant_statement_token13, - ACTIONS(1493), 1, - aux_sym_order_by_clause_token1, - STATE(1054), 1, - sym_group_by_clause, - STATE(1089), 1, - sym_order_by_clause, - ACTIONS(1613), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [62761] = 6, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(3037), 1, + sym_number, + [124509] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1809), 1, - aux_sym_sequence_token5, - ACTIONS(1813), 1, - aux_sym_where_clause_token1, - STATE(1060), 1, - sym_create_index_with_clause, - STATE(1137), 1, - sym_where_clause, - ACTIONS(1854), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [62793] = 4, + ACTIONS(3858), 1, + anon_sym_LPAREN, + STATE(1733), 1, + sym_index_table_parameters, + [124519] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1760), 1, - aux_sym_initial_mode_token1, - STATE(1045), 1, - sym_initial_mode, - ACTIONS(1895), 16, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [62821] = 4, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(2662), 1, + sym_number, + [124529] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1760), 1, - aux_sym_initial_mode_token1, - STATE(1053), 1, - sym_initial_mode, - ACTIONS(1897), 16, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [62849] = 6, + ACTIONS(4203), 1, + anon_sym_LPAREN, + STATE(1029), 1, + sym_tuple, + [124539] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1809), 1, - aux_sym_sequence_token5, - ACTIONS(1813), 1, - aux_sym_where_clause_token1, - STATE(1051), 1, - sym_create_index_with_clause, - STATE(1105), 1, - sym_where_clause, - ACTIONS(1899), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [62881] = 6, + ACTIONS(3621), 1, + aux_sym_null_hint_token3, + STATE(1665), 1, + sym_NULL, + [124549] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1809), 1, - aux_sym_sequence_token5, - ACTIONS(1813), 1, - aux_sym_where_clause_token1, - STATE(1063), 1, - sym_create_index_with_clause, - STATE(1101), 1, - sym_where_clause, - ACTIONS(1815), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [62913] = 6, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(2669), 1, + sym_number, + [124559] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1491), 1, - aux_sym_grant_statement_token13, - ACTIONS(1493), 1, - aux_sym_order_by_clause_token1, - STATE(1049), 1, - sym_group_by_clause, - STATE(1081), 1, - sym_order_by_clause, - ACTIONS(1597), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [62945] = 4, + ACTIONS(4205), 2, + aux_sym_initial_mode_token2, + aux_sym_initial_mode_token3, + [124567] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1903), 1, - anon_sym_COMMA, - STATE(1034), 1, - aux_sym_set_clause_body_repeat1, - ACTIONS(1901), 15, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_where_clause_token1, - [62972] = 4, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(2694), 1, + sym_number, + [124577] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1905), 1, - anon_sym_COMMA, - STATE(1026), 1, - aux_sym_group_by_clause_body_repeat1, - ACTIONS(1041), 15, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_order_by_clause_token1, - [62999] = 2, + ACTIONS(3778), 1, + aux_sym_number_token1, + STATE(2937), 1, + sym_number, + [124587] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1908), 17, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, + ACTIONS(4207), 1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token5, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_create_index_include_clause_token1, - aux_sym_where_clause_token1, - [63022] = 2, + STATE(1755), 1, + sym_set_clause, + [124597] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1910), 17, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, + ACTIONS(4209), 1, aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token5, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_create_index_include_clause_token1, - aux_sym_where_clause_token1, - [63045] = 5, + STATE(2150), 1, + sym_set_clause, + [124607] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(453), 1, - anon_sym_LPAREN, - ACTIONS(1535), 1, - aux_sym_sequence_token5, - ACTIONS(1912), 1, - anon_sym_COLON_COLON, - ACTIONS(1533), 14, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_null_hint_token3, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - [63074] = 2, + ACTIONS(4030), 1, + aux_sym_number_token1, + STATE(1729), 1, + sym_number, + [124617] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1914), 17, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token5, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_create_index_include_clause_token1, - aux_sym_where_clause_token1, - [63097] = 4, + ACTIONS(3813), 1, + aux_sym_from_clause_token1, + STATE(2290), 1, + sym_from_clause, + [124627] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1918), 1, - anon_sym_COMMA, - STATE(1031), 1, - aux_sym_set_clause_body_repeat1, - ACTIONS(1916), 15, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_where_clause_token1, - [63124] = 2, + ACTIONS(4030), 1, + aux_sym_number_token1, + STATE(1730), 1, + sym_number, + [124637] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1921), 17, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_initial_mode_token1, - [63147] = 4, + ACTIONS(3801), 1, + aux_sym_number_token1, + STATE(1816), 1, + sym_number, + [124647] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4211), 1, + anon_sym_LPAREN, + STATE(137), 1, + sym_tuple, + [124657] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4213), 1, + anon_sym_LPAREN, + STATE(1859), 1, + sym_parameters, + [124667] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4215), 1, + anon_sym_LPAREN, + STATE(2875), 1, + sym_create_function_parameters, + [124677] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(927), 1, - anon_sym_COMMA, - STATE(1026), 1, - aux_sym_group_by_clause_body_repeat1, - ACTIONS(1923), 15, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_order_by_clause_token1, - [63174] = 4, + ACTIONS(4030), 1, + aux_sym_number_token1, + STATE(1748), 1, + sym_number, + [124687] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1903), 1, - anon_sym_COMMA, - STATE(1031), 1, - aux_sym_set_clause_body_repeat1, - ACTIONS(1925), 15, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_where_clause_token1, - [63201] = 15, + ACTIONS(4217), 1, + anon_sym_LPAREN, + STATE(1163), 1, + sym_tuple, + [124697] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1489), 1, - anon_sym_RPAREN, - ACTIONS(1499), 1, - aux_sym_join_type_token1, - ACTIONS(1927), 1, - aux_sym_grant_statement_token13, - ACTIONS(1929), 1, - aux_sym_order_by_clause_token1, - ACTIONS(1931), 1, - aux_sym_where_clause_token1, - ACTIONS(1933), 1, - aux_sym_from_clause_token1, - ACTIONS(1935), 1, - aux_sym_join_clause_token1, - STATE(1066), 1, - sym_order_by_clause, - STATE(1080), 1, - sym_from_clause, - STATE(1319), 1, - sym_where_clause, - STATE(1444), 1, - sym_group_by_clause, - STATE(1840), 1, - sym_join_type, - STATE(1082), 2, - sym_join_clause, - aux_sym_select_statement_repeat1, - ACTIONS(1501), 3, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - [63250] = 2, + ACTIONS(4219), 2, + aux_sym_sequence_token6, + aux_sym_sequence_token7, + [124705] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1937), 17, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_initial_mode_token1, - [63273] = 4, + ACTIONS(4217), 1, + anon_sym_LPAREN, + STATE(1207), 1, + sym_tuple, + [124715] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1813), 1, - aux_sym_where_clause_token1, - STATE(1124), 1, - sym_where_clause, - ACTIONS(1939), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [63299] = 4, + ACTIONS(4211), 1, + anon_sym_LPAREN, + STATE(184), 1, + sym_tuple, + [124725] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1813), 1, - aux_sym_where_clause_token1, - STATE(1137), 1, - sym_where_clause, - ACTIONS(1854), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [63325] = 3, + ACTIONS(4221), 1, + anon_sym_LPAREN, + ACTIONS(4223), 1, + aux_sym_table_constraint_exclude_token2, + [124735] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1943), 2, - aux_sym_begin_statement_token2, - aux_sym_begin_statement_token3, - ACTIONS(1941), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [63349] = 3, + ACTIONS(4225), 1, + anon_sym_LPAREN, + STATE(1344), 1, + sym_tuple, + [124745] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1947), 2, - aux_sym_begin_statement_token2, - aux_sym_begin_statement_token3, - ACTIONS(1945), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [63373] = 2, + ACTIONS(4225), 1, + anon_sym_LPAREN, + STATE(1285), 1, + sym_tuple, + [124755] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1949), 16, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [63395] = 2, + ACTIONS(3815), 1, + aux_sym_number_token1, + STATE(2331), 1, + sym_number, + [124765] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1951), 16, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_order_by_clause_token1, - [63417] = 2, + ACTIONS(4227), 1, + anon_sym_LPAREN, + STATE(582), 1, + sym_tuple, + [124775] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1953), 16, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token5, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_where_clause_token1, - [63439] = 4, + ACTIONS(4227), 1, + anon_sym_LPAREN, + STATE(541), 1, + sym_tuple, + [124785] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1955), 1, - anon_sym_COMMA, - STATE(1044), 1, - aux_sym_group_by_clause_body_repeat1, - ACTIONS(1041), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [63465] = 2, + ACTIONS(4229), 1, + anon_sym_LPAREN, + STATE(1307), 1, + sym_tuple, + [124795] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1958), 16, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [63487] = 4, + ACTIONS(4229), 1, + anon_sym_LPAREN, + STATE(1321), 1, + sym_tuple, + [124805] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1493), 1, - aux_sym_order_by_clause_token1, - STATE(1087), 1, - sym_order_by_clause, - ACTIONS(1960), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [63513] = 3, + ACTIONS(4231), 2, + aux_sym_alter_table_rename_column_token2, + anon_sym_EQ, + [124813] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1964), 2, - aux_sym_begin_statement_token2, - aux_sym_begin_statement_token3, - ACTIONS(1962), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [63537] = 11, + ACTIONS(4233), 1, + anon_sym_LPAREN, + STATE(729), 1, + sym_tuple, + [124823] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1968), 1, - sym__unquoted_identifier, - ACTIONS(1970), 1, - anon_sym_BQUOTE, - ACTIONS(1972), 1, - anon_sym_DQUOTE, - STATE(978), 1, - sym_identifier, - STATE(1162), 1, - sym__quoted_identifier, - STATE(1394), 1, - sym_constrained_type, - STATE(1505), 1, - sym_create_function_parameter, - STATE(673), 2, - sym_dotted_name, - sym__identifier, - STATE(1220), 3, - sym_type, - sym_array_type, - sym__type, - ACTIONS(1966), 4, - aux_sym_create_function_parameter_token1, - aux_sym_create_function_parameter_token2, - aux_sym_create_function_parameter_token3, - aux_sym_create_function_parameter_token4, - [63577] = 4, + ACTIONS(4233), 1, + anon_sym_LPAREN, + STATE(714), 1, + sym_tuple, + [124833] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1493), 1, - aux_sym_order_by_clause_token1, - STATE(1089), 1, - sym_order_by_clause, - ACTIONS(1613), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [63603] = 4, + ACTIONS(3801), 1, + aux_sym_number_token1, + STATE(1781), 1, + sym_number, + [124843] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1813), 1, - aux_sym_where_clause_token1, - STATE(1101), 1, - sym_where_clause, - ACTIONS(1815), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [63629] = 4, + ACTIONS(2569), 1, + aux_sym_null_hint_token3, + STATE(1687), 1, + sym_NULL, + [124853] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1813), 1, - aux_sym_where_clause_token1, - STATE(1136), 1, - sym_where_clause, - ACTIONS(1974), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [63655] = 4, + ACTIONS(4235), 1, + anon_sym_LPAREN, + STATE(1519), 1, + sym_tuple, + [124863] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1055), 1, - anon_sym_COMMA, - STATE(1044), 1, - aux_sym_group_by_clause_body_repeat1, - ACTIONS(1976), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [63681] = 2, + ACTIONS(4235), 1, + anon_sym_LPAREN, + STATE(1531), 1, + sym_tuple, + [124873] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1978), 16, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [63703] = 4, + ACTIONS(4237), 1, + anon_sym_LPAREN, + STATE(350), 1, + sym_tuple, + [124883] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1493), 1, - aux_sym_order_by_clause_token1, - STATE(1083), 1, - sym_order_by_clause, - ACTIONS(1891), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [63729] = 2, + ACTIONS(4239), 1, + aux_sym_join_type_token5, + ACTIONS(4241), 1, + aux_sym_join_clause_token1, + [124893] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1980), 16, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_sequence_token5, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_where_clause_token1, - [63751] = 11, + ACTIONS(4237), 1, + anon_sym_LPAREN, + STATE(362), 1, + sym_tuple, + [124903] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1968), 1, - sym__unquoted_identifier, - ACTIONS(1970), 1, - anon_sym_BQUOTE, - ACTIONS(1972), 1, - anon_sym_DQUOTE, - STATE(978), 1, - sym_identifier, - STATE(1162), 1, - sym__quoted_identifier, - STATE(1383), 1, - sym_create_function_parameter, - STATE(1394), 1, - sym_constrained_type, - STATE(673), 2, - sym_dotted_name, - sym__identifier, - STATE(1220), 3, - sym_type, - sym_array_type, - sym__type, - ACTIONS(1966), 4, - aux_sym_create_function_parameter_token1, - aux_sym_create_function_parameter_token2, - aux_sym_create_function_parameter_token3, - aux_sym_create_function_parameter_token4, - [63791] = 4, + ACTIONS(4243), 1, + anon_sym_LPAREN, + STATE(1465), 1, + sym_tuple, + [124913] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1493), 1, - aux_sym_order_by_clause_token1, - STATE(1081), 1, - sym_order_by_clause, - ACTIONS(1597), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [63817] = 4, + ACTIONS(4245), 1, + anon_sym_LPAREN, + STATE(463), 1, + sym_tuple, + [124923] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1813), 1, - aux_sym_where_clause_token1, - STATE(1125), 1, - sym_where_clause, - ACTIONS(1982), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [63843] = 2, + ACTIONS(4247), 1, + anon_sym_LPAREN, + STATE(1053), 1, + sym_tuple, + [124933] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4245), 1, + anon_sym_LPAREN, + STATE(448), 1, + sym_tuple, + [124943] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4243), 1, + anon_sym_LPAREN, + STATE(1420), 1, + sym_tuple, + [124953] = 3, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4215), 1, + anon_sym_LPAREN, + STATE(2793), 1, + sym_create_function_parameters, + [124963] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1916), 16, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - anon_sym_COMMA, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_where_clause_token1, - [63865] = 4, + ACTIONS(2166), 1, + aux_sym_null_hint_token3, + STATE(1665), 1, + sym_NULL, + [124973] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1813), 1, - aux_sym_where_clause_token1, - STATE(1105), 1, - sym_where_clause, - ACTIONS(1899), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [63891] = 2, + ACTIONS(4173), 1, + anon_sym_LPAREN, + STATE(556), 1, + sym_tuple, + [124983] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1895), 16, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [63913] = 2, + ACTIONS(4249), 1, + aux_sym_cte_token2, + ACTIONS(4251), 1, + aux_sym_alter_table_token3, + [124993] = 3, ACTIONS(3), 1, sym_comment, - ACTIONS(1897), 16, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [63935] = 4, + ACTIONS(4247), 1, + anon_sym_LPAREN, + STATE(1059), 1, + sym_tuple, + [125003] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1813), 1, - aux_sym_where_clause_token1, - STATE(1148), 1, - sym_where_clause, - ACTIONS(1893), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [63961] = 4, + ACTIONS(4253), 1, + anon_sym_DQUOTE, + [125010] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1535), 1, - aux_sym_sequence_token5, - ACTIONS(1912), 1, - anon_sym_COLON_COLON, - ACTIONS(1533), 14, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_null_hint_token3, - anon_sym_COMMA, - anon_sym_RPAREN, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - [63987] = 4, + ACTIONS(4255), 1, + aux_sym_at_time_zone_expression_token2, + [125017] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(193), 1, - aux_sym_sequence_token5, - ACTIONS(195), 1, - anon_sym_LBRACK, - ACTIONS(191), 14, - aux_sym_alter_table_action_alter_column_token3, - aux_sym_sequence_token2, - aux_sym_null_hint_token3, - anon_sym_COMMA, + ACTIONS(4257), 1, + anon_sym_RBRACK, + [125024] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4259), 1, anon_sym_RPAREN, - aux_sym_grant_statement_token9, - aux_sym_auto_increment_constraint_token1, - aux_sym_direction_constraint_token1, - aux_sym_direction_constraint_token2, - aux_sym_time_zone_constraint_token1, - anon_sym_CONSTRAINT, - aux_sym_table_constraint_check_token1, - aux_sym_table_constraint_unique_token1, - aux_sym_table_constraint_primary_key_token1, - [64013] = 2, + [125031] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1597), 15, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, + ACTIONS(4261), 1, + aux_sym_at_time_zone_expression_token3, + [125038] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4263), 1, anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [64034] = 3, + [125045] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1986), 1, - aux_sym_sequence_token3, - ACTIONS(1984), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [64057] = 2, + ACTIONS(4265), 1, + aux_sym_from_clause_token1, + [125052] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1988), 15, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_where_clause_token1, - [64078] = 3, + ACTIONS(4267), 1, + aux_sym_at_time_zone_expression_token3, + [125059] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1992), 1, - aux_sym_sequence_token5, - ACTIONS(1990), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [64101] = 3, + ACTIONS(4269), 1, + aux_sym_at_time_zone_expression_token3, + [125066] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1996), 1, - aux_sym_sequence_token5, - ACTIONS(1994), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [64124] = 3, + ACTIONS(4271), 1, + aux_sym_at_time_zone_expression_token3, + [125073] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2000), 1, - aux_sym_sequence_token5, - ACTIONS(1998), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [64147] = 3, + ACTIONS(4273), 1, + aux_sym_from_clause_token1, + [125080] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2004), 1, - aux_sym_sequence_token5, - ACTIONS(2002), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [64170] = 3, + ACTIONS(4275), 1, + aux_sym_at_time_zone_expression_token3, + [125087] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2008), 1, - aux_sym_sequence_token5, - ACTIONS(2006), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [64193] = 3, + ACTIONS(4277), 1, + anon_sym_RPAREN, + [125094] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2012), 1, - aux_sym_sequence_token5, - ACTIONS(2010), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [64216] = 2, + ACTIONS(4279), 1, + aux_sym_at_time_zone_expression_token3, + [125101] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2014), 15, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_where_clause_token1, - [64237] = 2, + ACTIONS(4281), 1, + anon_sym_RBRACK, + [125108] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2016), 15, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, + ACTIONS(4283), 1, anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [64258] = 16, + [125115] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1113), 1, - aux_sym_table_constraint_unique_token1, - ACTIONS(2018), 1, - aux_sym_create_statement_token2, - ACTIONS(2020), 1, - aux_sym_create_statement_token3, - ACTIONS(2022), 1, - aux_sym_alter_table_token1, - ACTIONS(2024), 1, - aux_sym_sequence_token1, - ACTIONS(2026), 1, - aux_sym_create_function_statement_token1, - ACTIONS(2028), 1, - aux_sym_create_function_statement_token2, - ACTIONS(2030), 1, - aux_sym_create_extension_statement_token1, - ACTIONS(2032), 1, - aux_sym_create_role_statement_token1, - ACTIONS(2034), 1, - aux_sym_create_schema_statement_token1, - ACTIONS(2036), 1, - aux_sym_create_domain_statement_token1, - ACTIONS(2038), 1, - aux_sym_create_type_statement_token1, - ACTIONS(2040), 1, - aux_sym_create_index_statement_token1, - STATE(1127), 1, - sym_sequence, - STATE(1828), 1, - sym_unique_constraint, - [64307] = 13, + ACTIONS(4285), 1, + aux_sym_at_time_zone_expression_token3, + [125122] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1499), 1, - aux_sym_join_type_token1, - ACTIONS(1613), 1, + ACTIONS(4287), 1, anon_sym_RPAREN, - ACTIONS(1927), 1, - aux_sym_grant_statement_token13, - ACTIONS(1929), 1, - aux_sym_order_by_clause_token1, - ACTIONS(1931), 1, - aux_sym_where_clause_token1, - ACTIONS(1935), 1, - aux_sym_join_clause_token1, - STATE(1089), 1, - sym_order_by_clause, - STATE(1311), 1, - sym_where_clause, - STATE(1417), 1, - sym_group_by_clause, - STATE(1840), 1, - sym_join_type, - STATE(1155), 2, - sym_join_clause, - aux_sym_select_statement_repeat1, - ACTIONS(1501), 3, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - [64350] = 3, + [125129] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2044), 1, - aux_sym_sequence_token5, - ACTIONS(2042), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [64373] = 13, + ACTIONS(4289), 1, + aux_sym_at_time_zone_expression_token3, + [125136] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1499), 1, - aux_sym_join_type_token1, - ACTIONS(1597), 1, - anon_sym_RPAREN, - ACTIONS(1927), 1, - aux_sym_grant_statement_token13, - ACTIONS(1929), 1, - aux_sym_order_by_clause_token1, - ACTIONS(1931), 1, - aux_sym_where_clause_token1, - ACTIONS(1935), 1, - aux_sym_join_clause_token1, - STATE(1081), 1, - sym_order_by_clause, - STATE(1332), 1, - sym_where_clause, - STATE(1381), 1, - sym_group_by_clause, - STATE(1840), 1, - sym_join_type, - STATE(1078), 2, - sym_join_clause, - aux_sym_select_statement_repeat1, - ACTIONS(1501), 3, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - [64416] = 2, + ACTIONS(4291), 1, + aux_sym_at_time_zone_expression_token3, + [125143] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1613), 15, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, + ACTIONS(4293), 1, anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [64437] = 13, + [125150] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1499), 1, - aux_sym_join_type_token1, - ACTIONS(1597), 1, - anon_sym_RPAREN, - ACTIONS(1927), 1, - aux_sym_grant_statement_token13, - ACTIONS(1929), 1, - aux_sym_order_by_clause_token1, - ACTIONS(1931), 1, - aux_sym_where_clause_token1, - ACTIONS(1935), 1, - aux_sym_join_clause_token1, - STATE(1081), 1, - sym_order_by_clause, - STATE(1332), 1, - sym_where_clause, - STATE(1381), 1, - sym_group_by_clause, - STATE(1840), 1, - sym_join_type, - STATE(1155), 2, - sym_join_clause, - aux_sym_select_statement_repeat1, - ACTIONS(1501), 3, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - [64480] = 2, + ACTIONS(4295), 1, + aux_sym_at_time_zone_expression_token3, + [125157] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1960), 15, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [64501] = 3, + ACTIONS(4297), 1, + aux_sym_at_time_zone_expression_token3, + [125164] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2048), 1, - aux_sym_sequence_token5, - ACTIONS(2046), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [64524] = 3, + ACTIONS(4299), 1, + aux_sym_at_time_zone_expression_token3, + [125171] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2052), 1, - aux_sym_sequence_token5, - ACTIONS(2050), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [64547] = 3, + ACTIONS(4301), 1, + aux_sym_at_time_zone_expression_token3, + [125178] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(429), 1, - anon_sym_LPAREN, - ACTIONS(1533), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [64570] = 2, + ACTIONS(4303), 1, + aux_sym_at_time_zone_expression_token3, + [125185] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2054), 15, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, + ACTIONS(4305), 1, + aux_sym_at_time_zone_expression_token3, + [125192] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4307), 1, + aux_sym_at_time_zone_expression_token3, + [125199] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4309), 1, + anon_sym_DOLLAR_DOLLAR, + [125206] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4311), 1, + aux_sym_number_token1, + [125213] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4313), 1, + anon_sym_BQUOTE, + [125220] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4313), 1, + anon_sym_DQUOTE, + [125227] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4315), 1, anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [64591] = 3, + [125234] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2058), 1, - aux_sym_sequence_token5, - ACTIONS(2056), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [64614] = 2, + ACTIONS(4309), 1, + anon_sym_SQUOTE, + [125241] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4317), 1, + anon_sym_RPAREN, + [125248] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4319), 1, + anon_sym_SQUOTE, + [125255] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1891), 15, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - anon_sym_RPAREN, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [64635] = 2, + ACTIONS(4319), 1, + anon_sym_DOLLAR_DOLLAR, + [125262] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2060), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [64655] = 2, + ACTIONS(4321), 1, + anon_sym_DQUOTE, + [125269] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2062), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [64675] = 2, + ACTIONS(4321), 1, + anon_sym_BQUOTE, + [125276] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1854), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [64695] = 2, + ACTIONS(4323), 1, + aux_sym_number_token1, + [125283] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2064), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [64715] = 2, + ACTIONS(4325), 1, + anon_sym_RPAREN, + [125290] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2066), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [64735] = 2, + ACTIONS(4327), 1, + aux_sym_from_clause_token1, + [125297] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2068), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [64755] = 2, + ACTIONS(4329), 1, + aux_sym_at_time_zone_expression_token3, + [125304] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2070), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [64775] = 2, + ACTIONS(4331), 1, + aux_sym_cte_token2, + [125311] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2072), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [64795] = 2, + ACTIONS(4333), 1, + aux_sym_at_time_zone_expression_token3, + [125318] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2074), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [64815] = 2, + ACTIONS(4335), 1, + aux_sym_at_time_zone_expression_token3, + [125325] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2076), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [64835] = 2, + ACTIONS(4337), 1, + aux_sym_at_time_zone_expression_token3, + [125332] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2078), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [64855] = 2, + ACTIONS(4339), 1, + aux_sym_at_time_zone_expression_token3, + [125339] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1893), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [64875] = 2, + ACTIONS(4341), 1, + anon_sym_RPAREN, + [125346] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2080), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [64895] = 2, + ACTIONS(4343), 1, + aux_sym_at_time_zone_expression_token3, + [125353] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2082), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [64915] = 3, + ACTIONS(4345), 1, + anon_sym_RBRACK, + [125360] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2086), 1, - anon_sym_SEMI, - ACTIONS(2084), 13, - ts_builtin_sym_end, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [64937] = 2, + ACTIONS(4347), 1, + aux_sym_at_time_zone_expression_token3, + [125367] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1974), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [64957] = 2, + ACTIONS(4349), 1, + aux_sym_at_time_zone_expression_token3, + [125374] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2088), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [64977] = 2, + ACTIONS(4351), 1, + anon_sym_RPAREN, + [125381] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1117), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [64997] = 2, + ACTIONS(4353), 1, + aux_sym_at_time_zone_expression_token3, + [125388] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2090), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [65017] = 2, + ACTIONS(4355), 1, + aux_sym_at_time_zone_expression_token3, + [125395] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2092), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [65037] = 2, + ACTIONS(4357), 1, + anon_sym_RPAREN, + [125402] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2094), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [65057] = 2, + ACTIONS(4359), 1, + aux_sym_at_time_zone_expression_token3, + [125409] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2096), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [65077] = 2, + ACTIONS(4361), 1, + aux_sym_at_time_zone_expression_token3, + [125416] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2098), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [65097] = 2, + ACTIONS(4363), 1, + aux_sym_at_time_zone_expression_token3, + [125423] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2100), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [65117] = 2, + ACTIONS(4365), 1, + aux_sym_at_time_zone_expression_token3, + [125430] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2102), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [65137] = 2, + ACTIONS(4367), 1, + aux_sym_at_time_zone_expression_token3, + [125437] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2104), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [65157] = 2, + ACTIONS(4369), 1, + aux_sym_at_time_zone_expression_token3, + [125444] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2106), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [65177] = 2, + ACTIONS(4371), 1, + aux_sym_at_time_zone_expression_token3, + [125451] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2108), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [65197] = 2, + ACTIONS(4373), 1, + aux_sym_at_time_zone_expression_token3, + [125458] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2110), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [65217] = 2, + ACTIONS(4375), 1, + aux_sym_at_time_zone_expression_token3, + [125465] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2112), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [65237] = 10, + ACTIONS(4377), 1, + aux_sym_number_token1, + [125472] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(227), 1, - sym__unquoted_identifier, - ACTIONS(229), 1, + ACTIONS(4379), 1, anon_sym_BQUOTE, - ACTIONS(231), 1, + [125479] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4379), 1, anon_sym_DQUOTE, - ACTIONS(2114), 1, - aux_sym_setof_token1, - STATE(10), 1, - sym__quoted_identifier, - STATE(142), 1, - sym_identifier, - STATE(32), 2, - sym_dotted_name, - sym__identifier, - STATE(780), 3, - sym__create_function_return_type, - sym_setof, - sym_constrained_type, - STATE(809), 3, - sym_type, - sym_array_type, - sym__type, - [65273] = 2, + [125486] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2116), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [65293] = 2, + ACTIONS(4381), 1, + anon_sym_RPAREN, + [125493] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2118), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [65313] = 2, + ACTIONS(4383), 1, + aux_sym_at_time_zone_expression_token3, + [125500] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2120), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [65333] = 2, + ACTIONS(4385), 1, + anon_sym_RPAREN, + [125507] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4387), 1, + anon_sym_SQUOTE, + [125514] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4387), 1, + anon_sym_DOLLAR_DOLLAR, + [125521] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4389), 1, + aux_sym_at_time_zone_expression_token3, + [125528] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2122), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [65353] = 2, + ACTIONS(4391), 1, + aux_sym_at_time_zone_expression_token3, + [125535] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2124), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [65373] = 2, + ACTIONS(4393), 1, + anon_sym_RPAREN, + [125542] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2126), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [65393] = 2, + ACTIONS(4395), 1, + anon_sym_RPAREN, + [125549] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2128), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [65413] = 2, + ACTIONS(4397), 1, + anon_sym_DQUOTE, + [125556] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2130), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [65433] = 2, + ACTIONS(4399), 1, + anon_sym_RBRACK, + [125563] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2132), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [65453] = 2, + ACTIONS(4401), 1, + aux_sym_from_clause_token1, + [125570] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2134), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [65473] = 2, + ACTIONS(4403), 1, + aux_sym_at_time_zone_expression_token3, + [125577] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2136), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [65493] = 10, + ACTIONS(4405), 1, + aux_sym_at_time_zone_expression_token3, + [125584] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(227), 1, - sym__unquoted_identifier, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2114), 1, - aux_sym_setof_token1, - STATE(10), 1, - sym__quoted_identifier, - STATE(142), 1, - sym_identifier, - STATE(32), 2, - sym_dotted_name, - sym__identifier, - STATE(784), 3, - sym__create_function_return_type, - sym_setof, - sym_constrained_type, - STATE(809), 3, - sym_type, - sym_array_type, - sym__type, - [65529] = 2, + ACTIONS(4407), 1, + anon_sym_RPAREN, + [125591] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2138), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [65549] = 2, + ACTIONS(4409), 1, + aux_sym_at_time_zone_expression_token3, + [125598] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2140), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [65569] = 2, + ACTIONS(4411), 1, + aux_sym_at_time_zone_expression_token3, + [125605] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1087), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [65589] = 2, + ACTIONS(4413), 1, + aux_sym_at_time_zone_expression_token3, + [125612] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2142), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [65609] = 2, + ACTIONS(4415), 1, + anon_sym_RPAREN, + [125619] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1899), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [65629] = 2, + ACTIONS(4417), 1, + aux_sym_at_time_zone_expression_token3, + [125626] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2144), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [65649] = 2, + ACTIONS(4419), 1, + anon_sym_RBRACK, + [125633] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2146), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [65669] = 2, + ACTIONS(4421), 1, + aux_sym_from_clause_token1, + [125640] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2148), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [65689] = 2, + ACTIONS(4423), 1, + aux_sym_at_time_zone_expression_token3, + [125647] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2150), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [65709] = 2, + ACTIONS(4425), 1, + anon_sym_RPAREN, + [125654] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2152), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [65729] = 2, + ACTIONS(4427), 1, + aux_sym_at_time_zone_expression_token3, + [125661] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2154), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [65749] = 2, + ACTIONS(4429), 1, + aux_sym_at_time_zone_expression_token3, + [125668] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1815), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [65769] = 2, + ACTIONS(4431), 1, + anon_sym_RPAREN, + [125675] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2156), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [65789] = 2, + ACTIONS(4433), 1, + aux_sym_at_time_zone_expression_token3, + [125682] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2158), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [65809] = 2, + ACTIONS(4435), 1, + aux_sym_at_time_zone_expression_token3, + [125689] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2160), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [65829] = 2, + ACTIONS(4437), 1, + aux_sym_at_time_zone_expression_token3, + [125696] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1939), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [65849] = 2, + ACTIONS(4439), 1, + aux_sym_at_time_zone_expression_token3, + [125703] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2162), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [65869] = 2, + ACTIONS(4441), 1, + aux_sym_at_time_zone_expression_token3, + [125710] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2164), 14, - ts_builtin_sym_end, - anon_sym_SEMI, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [65889] = 11, + ACTIONS(4443), 1, + aux_sym_at_time_zone_expression_token3, + [125717] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(479), 1, + ACTIONS(4445), 1, + aux_sym_at_time_zone_expression_token3, + [125724] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4447), 1, + anon_sym_RPAREN, + [125731] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4449), 1, + aux_sym_number_token1, + [125738] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4451), 1, anon_sym_BQUOTE, - ACTIONS(481), 1, + [125745] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4451), 1, anon_sym_DQUOTE, - ACTIONS(483), 1, + [125752] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4453), 1, + anon_sym_RPAREN, + [125759] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4455), 1, + anon_sym_DOLLAR_DOLLAR, + [125766] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4457), 1, anon_sym_SQUOTE, - ACTIONS(485), 1, + [125773] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4457), 1, anon_sym_DOLLAR_DOLLAR, - ACTIONS(2166), 1, - anon_sym_LPAREN, - ACTIONS(2168), 1, - sym__unquoted_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(1029), 1, - sym_identifier, - STATE(905), 2, - sym__column_default_expression, - sym_type_cast, - STATE(1064), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - [65926] = 10, + [125780] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2170), 1, - aux_sym_alter_table_action_alter_column_token1, - ACTIONS(2172), 1, - aux_sym__table_constraint_token1, - ACTIONS(2174), 1, - aux_sym_table_constraint_check_token1, - ACTIONS(2176), 1, - aux_sym_table_constraint_exclude_token1, - ACTIONS(2178), 1, - aux_sym_table_constraint_foreign_key_token1, - ACTIONS(2180), 1, - aux_sym_table_constraint_unique_token1, - ACTIONS(2182), 1, - aux_sym_table_constraint_primary_key_token1, - STATE(1128), 1, - sym__table_constraint, - STATE(970), 5, - sym_table_constraint_check, - sym_table_constraint_exclude, - sym_table_constraint_foreign_key, - sym_table_constraint_unique, - sym_table_constraint_primary_key, - [65961] = 11, + ACTIONS(4455), 1, + anon_sym_SQUOTE, + [125787] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(702), 1, + ACTIONS(4459), 1, + anon_sym_RPAREN, + [125794] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4461), 1, anon_sym_SQUOTE, - ACTIONS(704), 1, + [125801] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4463), 1, anon_sym_DOLLAR_DOLLAR, - ACTIONS(730), 1, + [125808] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4465), 1, + anon_sym_RPAREN, + [125815] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4397), 1, anon_sym_BQUOTE, - ACTIONS(732), 1, - anon_sym_DQUOTE, - ACTIONS(2184), 1, - anon_sym_LPAREN, - ACTIONS(2186), 1, - sym__unquoted_identifier, - STATE(3), 1, - sym__quoted_identifier, - STATE(881), 1, - sym_identifier, - STATE(905), 2, - sym__column_default_expression, - sym_type_cast, - STATE(917), 3, - sym_function_call, - sym__parenthesized_expression, - sym_string, - [65998] = 2, + [125822] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2188), 13, - ts_builtin_sym_end, - aux_sym_begin_statement_token1, - aux_sym_commit_statement_token1, - aux_sym_rollback_statement_token1, - aux_sym_create_statement_token1, - aux_sym_alter_statement_token1, - aux_sym_alter_table_action_alter_column_token2, - aux_sym_pg_command_token1, - aux_sym_drop_statement_token1, - aux_sym_grant_statement_token1, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - [66017] = 7, + ACTIONS(4467), 1, + aux_sym_cte_token2, + [125829] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1724), 1, - aux_sym_join_type_token1, - ACTIONS(2190), 1, - aux_sym_join_clause_token1, - STATE(1840), 1, - sym_join_type, - STATE(1155), 2, - sym_join_clause, - aux_sym_select_statement_repeat1, - ACTIONS(1727), 3, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - ACTIONS(1722), 4, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - [66045] = 4, + ACTIONS(4469), 1, + aux_sym_at_time_zone_expression_token3, + [125836] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4471), 1, + aux_sym_from_clause_token1, + [125843] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4473), 1, + aux_sym_at_time_zone_expression_token3, + [125850] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4475), 1, + aux_sym_number_token1, + [125857] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4463), 1, + anon_sym_SQUOTE, + [125864] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4477), 1, + aux_sym_cte_token1, + [125871] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4479), 1, + aux_sym_at_time_zone_expression_token3, + [125878] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4481), 1, + aux_sym_at_time_zone_expression_token3, + [125885] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2193), 1, - anon_sym_COMMA, - STATE(1158), 1, - aux_sym_select_clause_body_repeat1, - ACTIONS(1714), 10, + ACTIONS(4483), 1, anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - [66067] = 4, + [125892] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2193), 1, - anon_sym_COMMA, - STATE(1156), 1, - aux_sym_select_clause_body_repeat1, - ACTIONS(1720), 10, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - [66089] = 4, + ACTIONS(4485), 1, + aux_sym_at_time_zone_expression_token3, + [125899] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2195), 1, - anon_sym_COMMA, - STATE(1158), 1, - aux_sym_select_clause_body_repeat1, - ACTIONS(1709), 10, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_from_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - [66111] = 10, + ACTIONS(4487), 1, + anon_sym_RBRACK, + [125906] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(734), 1, - anon_sym_SQUOTE, - ACTIONS(736), 1, - anon_sym_DOLLAR_DOLLAR, - ACTIONS(2184), 1, - anon_sym_LPAREN, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(1086), 1, - sym_identifier, - STATE(1102), 4, - sym__column_default_expression, - sym_function_call, - sym__parenthesized_expression, - sym_string, - [66145] = 4, + ACTIONS(4489), 1, + aux_sym_at_time_zone_expression_token3, + [125913] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2200), 1, - anon_sym_COMMA, - STATE(1172), 1, - aux_sym_select_clause_body_repeat1, - ACTIONS(1733), 9, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - [66166] = 11, + ACTIONS(4491), 1, + aux_sym_at_time_zone_expression_token3, + [125920] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(1142), 1, - anon_sym_COMMA, - ACTIONS(1144), 1, + ACTIONS(4493), 1, anon_sym_RPAREN, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(1338), 1, - sym_identifier, - STATE(1402), 1, - sym_op_class, - STATE(1403), 1, - aux_sym_index_table_parameters_repeat1, - STATE(1375), 2, - sym_dotted_name, - sym__identifier, - [66201] = 3, + [125927] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(69), 3, - aux_sym_sequence_token2, - aux_sym_null_hint_token3, - sym__unquoted_identifier, - ACTIONS(67), 8, - anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_DOT, - anon_sym_LBRACK, - [66220] = 8, + ACTIONS(4495), 1, + aux_sym_at_time_zone_expression_token3, + [125934] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(227), 1, - sym__unquoted_identifier, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - STATE(10), 1, - sym__quoted_identifier, - STATE(142), 1, - sym_identifier, - STATE(1743), 2, - sym_dotted_name, - sym__identifier, - ACTIONS(2202), 4, - aux_sym_alter_table_token1, - aux_sym_sequence_token1, - aux_sym_create_schema_statement_token1, - aux_sym_grant_statement_token12, - [66249] = 10, + ACTIONS(4497), 1, + aux_sym_at_time_zone_expression_token3, + [125941] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(227), 1, - sym__unquoted_identifier, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2204), 1, - aux_sym_sequence_token5, - STATE(10), 1, - sym__quoted_identifier, - STATE(142), 1, - sym_identifier, - STATE(1362), 1, - sym_op_class, - ACTIONS(2206), 2, - anon_sym_COMMA, + ACTIONS(4499), 1, anon_sym_RPAREN, - STATE(1375), 2, - sym_dotted_name, - sym__identifier, - [66282] = 8, + [125948] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(227), 1, - sym__unquoted_identifier, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - STATE(10), 1, - sym__quoted_identifier, - STATE(142), 1, - sym_identifier, - STATE(1786), 2, - sym_dotted_name, - sym__identifier, - ACTIONS(2208), 4, - aux_sym_alter_table_token1, - aux_sym_sequence_token1, - aux_sym_create_schema_statement_token1, - aux_sym_grant_statement_token12, - [66311] = 9, + ACTIONS(4501), 1, + aux_sym_at_time_zone_expression_token3, + [125955] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(142), 1, - sym_identifier, - STATE(1467), 1, - sym_constrained_type, - STATE(673), 2, - sym_dotted_name, - sym__identifier, - STATE(1272), 3, - sym_type, - sym_array_type, - sym__type, - [66342] = 8, + ACTIONS(4503), 1, + aux_sym_at_time_zone_expression_token3, + [125962] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(227), 1, - sym__unquoted_identifier, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - STATE(10), 1, - sym__quoted_identifier, - STATE(142), 1, - sym_identifier, - STATE(1722), 2, - sym_dotted_name, - sym__identifier, - ACTIONS(2210), 4, - aux_sym_alter_table_token1, - aux_sym_sequence_token1, - aux_sym_create_schema_statement_token1, - aux_sym_grant_statement_token12, - [66371] = 9, + ACTIONS(4505), 1, + aux_sym_at_time_zone_expression_token3, + [125969] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(142), 1, - sym_identifier, - STATE(954), 1, - sym_constrained_type, - STATE(32), 2, - sym_dotted_name, - sym__identifier, - STATE(799), 3, - sym_type, - sym_array_type, - sym__type, - [66402] = 3, + ACTIONS(4507), 1, + aux_sym_at_time_zone_expression_token3, + [125976] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(77), 3, - aux_sym_sequence_token2, - aux_sym_null_hint_token3, - sym__unquoted_identifier, - ACTIONS(75), 8, - anon_sym_EQ, - anon_sym_LPAREN, - anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_DOT, - anon_sym_LBRACK, - [66421] = 9, + ACTIONS(4509), 1, + aux_sym_at_time_zone_expression_token3, + [125983] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1970), 1, - anon_sym_BQUOTE, - ACTIONS(1972), 1, - anon_sym_DQUOTE, - ACTIONS(2212), 1, - sym__unquoted_identifier, - STATE(986), 1, - sym_identifier, - STATE(1162), 1, - sym__quoted_identifier, - STATE(1395), 1, - sym_constrained_type, - STATE(673), 2, - sym_dotted_name, - sym__identifier, - STATE(1223), 3, - sym_type, - sym_array_type, - sym__type, - [66452] = 6, + ACTIONS(4511), 1, + aux_sym_at_time_zone_expression_token3, + [125990] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2214), 1, - aux_sym_null_hint_token2, - ACTIONS(2216), 1, - aux_sym_grant_statement_token2, - ACTIONS(2220), 1, - aux_sym_grant_statement_token7, - STATE(1175), 1, - aux_sym_grant_statement_repeat1, - ACTIONS(2218), 7, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token8, - aux_sym_grant_statement_token9, - aux_sym_grant_statement_token10, - aux_sym_grant_statement_token11, - [66477] = 4, + ACTIONS(4513), 1, + aux_sym_at_time_zone_expression_token3, + [125997] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2222), 1, - anon_sym_COMMA, - STATE(1172), 1, - aux_sym_select_clause_body_repeat1, - ACTIONS(1709), 9, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, + ACTIONS(4241), 1, aux_sym_join_clause_token1, - [66498] = 4, + [126004] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2200), 1, - anon_sym_COMMA, - STATE(1160), 1, - aux_sym_select_clause_body_repeat1, - ACTIONS(1737), 9, - anon_sym_RPAREN, - aux_sym_grant_statement_token13, - aux_sym_order_by_clause_token1, - aux_sym_where_clause_token1, - aux_sym_join_type_token1, - aux_sym_join_type_token2, - aux_sym_join_type_token3, - aux_sym_join_type_token4, - aux_sym_join_clause_token1, - [66519] = 8, + ACTIONS(4515), 1, + aux_sym_at_time_zone_expression_token3, + [126011] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(698), 1, + ACTIONS(4517), 1, + aux_sym_number_token1, + [126018] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4519), 1, anon_sym_BQUOTE, - ACTIONS(700), 1, + [126025] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4519), 1, anon_sym_DQUOTE, - ACTIONS(2225), 1, - sym__unquoted_identifier, - STATE(16), 1, - sym__quoted_identifier, - STATE(27), 1, - sym_identifier, - STATE(38), 2, - sym_dotted_name, - sym__identifier, - STATE(73), 3, - sym_type, - sym_array_type, - sym__type, - [66547] = 5, + [126032] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2220), 1, - aux_sym_grant_statement_token7, - ACTIONS(2227), 1, - aux_sym_null_hint_token2, - STATE(1190), 1, - aux_sym_grant_statement_repeat1, - ACTIONS(2229), 7, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token8, - aux_sym_grant_statement_token9, - aux_sym_grant_statement_token10, - aux_sym_grant_statement_token11, - [66569] = 8, + ACTIONS(4521), 1, + anon_sym_RPAREN, + [126039] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(49), 1, - anon_sym_BQUOTE, - ACTIONS(51), 1, - anon_sym_DQUOTE, - ACTIONS(2231), 1, - sym__unquoted_identifier, - STATE(14), 1, - sym__quoted_identifier, - STATE(26), 1, - sym_identifier, - STATE(44), 2, - sym_dotted_name, - sym__identifier, - STATE(57), 3, - sym_type, - sym_array_type, - sym__type, - [66597] = 8, + ACTIONS(4523), 1, + aux_sym_at_time_zone_expression_token3, + [126046] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(858), 1, - anon_sym_BQUOTE, - ACTIONS(860), 1, - anon_sym_DQUOTE, - ACTIONS(2233), 1, - sym__unquoted_identifier, - STATE(607), 1, - sym__quoted_identifier, - STATE(659), 1, - sym_identifier, - STATE(670), 2, - sym_dotted_name, - sym__identifier, - STATE(677), 3, - sym_type, - sym_array_type, - sym__type, - [66625] = 8, + ACTIONS(4525), 1, + aux_sym_at_time_zone_expression_token3, + [126053] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(2168), 1, - sym__unquoted_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(266), 1, - sym_identifier, - STATE(673), 2, - sym_dotted_name, - sym__identifier, - STATE(911), 3, - sym_type, - sym_array_type, - sym__type, - [66653] = 8, + ACTIONS(4527), 1, + anon_sym_SQUOTE, + [126060] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(2168), 1, - sym__unquoted_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(266), 1, - sym_identifier, - STATE(475), 2, - sym_dotted_name, - sym__identifier, - STATE(548), 3, - sym_type, - sym_array_type, - sym__type, - [66681] = 8, + ACTIONS(4527), 1, + anon_sym_DOLLAR_DOLLAR, + [126067] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(730), 1, - anon_sym_BQUOTE, - ACTIONS(732), 1, - anon_sym_DQUOTE, - ACTIONS(2186), 1, - sym__unquoted_identifier, - STATE(3), 1, - sym__quoted_identifier, - STATE(12), 1, - sym_identifier, - STATE(673), 2, - sym_dotted_name, - sym__identifier, - STATE(39), 3, - sym_type, - sym_array_type, - sym__type, - [66709] = 9, + ACTIONS(4529), 1, + aux_sym_at_time_zone_expression_token3, + [126074] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(1338), 1, - sym_identifier, - STATE(1497), 1, - sym_op_class, - ACTIONS(1204), 2, - anon_sym_COMMA, + ACTIONS(4531), 1, anon_sym_RPAREN, - STATE(1375), 2, - sym_dotted_name, - sym__identifier, - [66739] = 8, + [126081] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(730), 1, - anon_sym_BQUOTE, - ACTIONS(732), 1, - anon_sym_DQUOTE, - ACTIONS(2186), 1, - sym__unquoted_identifier, - STATE(3), 1, - sym__quoted_identifier, - STATE(12), 1, - sym_identifier, - STATE(673), 2, - sym_dotted_name, - sym__identifier, - STATE(631), 3, - sym_type, - sym_array_type, - sym__type, - [66767] = 8, + ACTIONS(4533), 1, + aux_sym_at_time_zone_expression_token3, + [126088] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(666), 1, - anon_sym_BQUOTE, - ACTIONS(668), 1, - anon_sym_DQUOTE, - ACTIONS(2235), 1, - sym__unquoted_identifier, - STATE(663), 1, - sym__quoted_identifier, - STATE(671), 1, - sym_identifier, - STATE(730), 2, - sym_dotted_name, - sym__identifier, - STATE(742), 3, - sym_type, - sym_array_type, - sym__type, - [66795] = 8, + ACTIONS(4535), 1, + aux_sym_at_time_zone_expression_token3, + [126095] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(2168), 1, - sym__unquoted_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(266), 1, - sym_identifier, - STATE(673), 2, - sym_dotted_name, - sym__identifier, - STATE(1065), 3, - sym_type, - sym_array_type, - sym__type, - [66823] = 8, + ACTIONS(4537), 1, + anon_sym_RPAREN, + [126102] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(549), 1, - anon_sym_BQUOTE, - ACTIONS(551), 1, - anon_sym_DQUOTE, - ACTIONS(2237), 1, - sym__unquoted_identifier, - STATE(23), 1, - sym__quoted_identifier, - STATE(33), 1, - sym_identifier, - STATE(74), 2, - sym_dotted_name, - sym__identifier, - STATE(106), 3, - sym_type, - sym_array_type, - sym__type, - [66851] = 8, + ACTIONS(4539), 1, + anon_sym_RPAREN, + [126109] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(634), 1, - anon_sym_BQUOTE, - ACTIONS(636), 1, - anon_sym_DQUOTE, - ACTIONS(2239), 1, - sym__unquoted_identifier, - STATE(675), 1, - sym__quoted_identifier, - STATE(735), 1, - sym_identifier, - STATE(782), 2, - sym_dotted_name, - sym__identifier, - STATE(788), 3, - sym_type, - sym_array_type, - sym__type, - [66879] = 8, + ACTIONS(4541), 1, + aux_sym_at_time_zone_expression_token3, + [126116] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(826), 1, - anon_sym_BQUOTE, - ACTIONS(828), 1, - anon_sym_DQUOTE, - ACTIONS(2241), 1, - sym__unquoted_identifier, - STATE(683), 1, - sym__quoted_identifier, - STATE(739), 1, - sym_identifier, - STATE(778), 2, - sym_dotted_name, - sym__identifier, - STATE(810), 3, - sym_type, - sym_array_type, - sym__type, - [66907] = 8, + ACTIONS(4543), 1, + anon_sym_RPAREN, + [126123] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(730), 1, - anon_sym_BQUOTE, - ACTIONS(732), 1, - anon_sym_DQUOTE, - ACTIONS(2186), 1, - sym__unquoted_identifier, - STATE(3), 1, - sym__quoted_identifier, - STATE(12), 1, - sym_identifier, - STATE(32), 2, - sym_dotted_name, - sym__identifier, - STATE(39), 3, - sym_type, - sym_array_type, - sym__type, - [66935] = 7, + ACTIONS(4545), 1, + aux_sym_from_clause_token1, + [126130] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2176), 1, - aux_sym_table_constraint_exclude_token1, - ACTIONS(2178), 1, - aux_sym_table_constraint_foreign_key_token1, - ACTIONS(2180), 1, - aux_sym_table_constraint_unique_token1, - ACTIONS(2182), 1, - aux_sym_table_constraint_primary_key_token1, - ACTIONS(2243), 1, - aux_sym_table_constraint_check_token1, - STATE(976), 5, - sym_table_constraint_check, - sym_table_constraint_exclude, - sym_table_constraint_foreign_key, - sym_table_constraint_unique, - sym_table_constraint_primary_key, - [66961] = 5, + ACTIONS(4547), 1, + aux_sym_at_time_zone_expression_token3, + [126137] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2245), 1, + ACTIONS(4549), 1, aux_sym_null_hint_token2, - ACTIONS(2250), 1, - aux_sym_grant_statement_token7, - STATE(1190), 1, - aux_sym_grant_statement_repeat1, - ACTIONS(2247), 7, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token8, - aux_sym_grant_statement_token9, - aux_sym_grant_statement_token10, - aux_sym_grant_statement_token11, - [66983] = 7, + [126144] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2174), 1, - aux_sym_table_constraint_check_token1, - ACTIONS(2176), 1, - aux_sym_table_constraint_exclude_token1, - ACTIONS(2178), 1, - aux_sym_table_constraint_foreign_key_token1, - ACTIONS(2180), 1, - aux_sym_table_constraint_unique_token1, - ACTIONS(2182), 1, - aux_sym_table_constraint_primary_key_token1, - STATE(976), 5, - sym_table_constraint_check, - sym_table_constraint_exclude, - sym_table_constraint_foreign_key, - sym_table_constraint_unique, - sym_table_constraint_primary_key, - [67009] = 8, + ACTIONS(4551), 1, + aux_sym_at_time_zone_expression_token3, + [126151] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(794), 1, - anon_sym_BQUOTE, - ACTIONS(796), 1, - anon_sym_DQUOTE, - ACTIONS(2253), 1, - sym__unquoted_identifier, - STATE(208), 1, - sym__quoted_identifier, - STATE(260), 1, - sym_identifier, - STATE(455), 2, - sym_dotted_name, - sym__identifier, - STATE(496), 3, - sym_type, - sym_array_type, - sym__type, - [67037] = 8, + ACTIONS(4553), 1, + anon_sym_RPAREN, + [126158] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(890), 1, - anon_sym_BQUOTE, - ACTIONS(892), 1, - anon_sym_DQUOTE, - ACTIONS(2255), 1, - sym__unquoted_identifier, - STATE(138), 1, - sym__quoted_identifier, - STATE(174), 1, - sym_identifier, - STATE(176), 2, - sym_dotted_name, - sym__identifier, - STATE(187), 3, - sym_type, - sym_array_type, - sym__type, - [67065] = 8, + ACTIONS(4555), 1, + aux_sym_at_time_zone_expression_token3, + [126165] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(155), 1, - anon_sym_BQUOTE, - ACTIONS(157), 1, - anon_sym_DQUOTE, - ACTIONS(2257), 1, - sym__unquoted_identifier, - STATE(244), 1, - sym__quoted_identifier, - STATE(398), 1, - sym_identifier, - STATE(472), 2, - sym_dotted_name, - sym__identifier, - STATE(510), 3, - sym_type, - sym_array_type, - sym__type, - [67093] = 8, + ACTIONS(4557), 1, + aux_sym_at_time_zone_expression_token3, + [126172] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(584), 1, - anon_sym_BQUOTE, - ACTIONS(586), 1, - anon_sym_DQUOTE, - ACTIONS(2259), 1, - sym__unquoted_identifier, - STATE(253), 1, - sym__quoted_identifier, - STATE(456), 1, - sym_identifier, - STATE(532), 2, - sym_dotted_name, - sym__identifier, - STATE(569), 3, - sym_type, - sym_array_type, - sym__type, - [67121] = 8, + ACTIONS(4559), 1, + anon_sym_RPAREN, + [126179] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4561), 1, + aux_sym_at_time_zone_expression_token3, + [126186] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4563), 1, + anon_sym_RBRACK, + [126193] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4565), 1, + anon_sym_RBRACK, + [126200] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(142), 1, - sym_identifier, - STATE(673), 2, - sym_dotted_name, - sym__identifier, - STATE(969), 3, - sym_type, - sym_array_type, - sym__type, - [67149] = 7, + ACTIONS(4567), 1, + aux_sym_at_time_zone_expression_token3, + [126207] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1605), 1, - aux_sym_null_hint_token3, - ACTIONS(2261), 1, - aux_sym_sequence_token2, - ACTIONS(2263), 1, - aux_sym_distinct_from_token1, - ACTIONS(2265), 1, - aux_sym_TRUE_token1, - ACTIONS(2267), 1, - aux_sym_FALSE_token1, - STATE(573), 4, - sym_distinct_from, - sym_NULL, - sym_TRUE, - sym_FALSE, - [67174] = 7, + ACTIONS(4569), 1, + anon_sym_RPAREN, + [126214] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1419), 1, - aux_sym_null_hint_token3, - ACTIONS(2269), 1, - aux_sym_sequence_token2, - ACTIONS(2271), 1, - aux_sym_distinct_from_token1, - ACTIONS(2273), 1, - aux_sym_TRUE_token1, - ACTIONS(2275), 1, - aux_sym_FALSE_token1, - STATE(481), 4, - sym_distinct_from, - sym_NULL, - sym_TRUE, - sym_FALSE, - [67199] = 7, + ACTIONS(4571), 1, + aux_sym_at_time_zone_expression_token3, + [126221] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2277), 1, - aux_sym_sequence_token2, - ACTIONS(2279), 1, - aux_sym_null_hint_token3, - ACTIONS(2281), 1, - aux_sym_distinct_from_token1, - ACTIONS(2283), 1, - aux_sym_TRUE_token1, - ACTIONS(2285), 1, - aux_sym_FALSE_token1, - STATE(637), 4, - sym_distinct_from, - sym_NULL, - sym_TRUE, - sym_FALSE, - [67224] = 7, + ACTIONS(4573), 1, + aux_sym_at_time_zone_expression_token3, + [126228] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2287), 1, - aux_sym_sequence_token2, - ACTIONS(2289), 1, - aux_sym_null_hint_token3, - ACTIONS(2291), 1, - aux_sym_distinct_from_token1, - ACTIONS(2293), 1, - aux_sym_TRUE_token1, - ACTIONS(2295), 1, - aux_sym_FALSE_token1, - STATE(749), 4, - sym_distinct_from, - sym_NULL, - sym_TRUE, - sym_FALSE, - [67249] = 7, + ACTIONS(4575), 1, + anon_sym_RPAREN, + [126235] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2297), 1, - aux_sym_sequence_token2, - ACTIONS(2299), 1, - aux_sym_null_hint_token3, - ACTIONS(2301), 1, - aux_sym_distinct_from_token1, - ACTIONS(2303), 1, - aux_sym_TRUE_token1, - ACTIONS(2305), 1, - aux_sym_FALSE_token1, - STATE(122), 4, - sym_distinct_from, - sym_NULL, - sym_TRUE, - sym_FALSE, - [67274] = 7, + ACTIONS(4577), 1, + aux_sym_at_time_zone_expression_token3, + [126242] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2307), 1, - aux_sym_sequence_token2, - ACTIONS(2309), 1, - aux_sym_null_hint_token3, - ACTIONS(2311), 1, - aux_sym_distinct_from_token1, - ACTIONS(2313), 1, - aux_sym_TRUE_token1, - ACTIONS(2315), 1, - aux_sym_FALSE_token1, - STATE(853), 4, - sym_distinct_from, - sym_NULL, - sym_TRUE, - sym_FALSE, - [67299] = 7, + ACTIONS(4579), 1, + aux_sym_at_time_zone_expression_token3, + [126249] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2317), 1, - aux_sym_sequence_token2, - ACTIONS(2319), 1, - aux_sym_null_hint_token3, - ACTIONS(2321), 1, - aux_sym_distinct_from_token1, - ACTIONS(2323), 1, - aux_sym_TRUE_token1, - ACTIONS(2325), 1, - aux_sym_FALSE_token1, - STATE(827), 4, - sym_distinct_from, - sym_NULL, - sym_TRUE, - sym_FALSE, - [67324] = 7, + ACTIONS(4581), 1, + aux_sym_at_time_zone_expression_token3, + [126256] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2327), 1, - aux_sym_sequence_token2, - ACTIONS(2329), 1, - aux_sym_null_hint_token3, - ACTIONS(2331), 1, - aux_sym_distinct_from_token1, - ACTIONS(2333), 1, - aux_sym_TRUE_token1, - ACTIONS(2335), 1, - aux_sym_FALSE_token1, - STATE(514), 4, - sym_distinct_from, - sym_NULL, - sym_TRUE, - sym_FALSE, - [67349] = 7, + ACTIONS(4583), 1, + aux_sym_at_time_zone_expression_token3, + [126263] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2337), 1, - aux_sym_sequence_token2, - ACTIONS(2339), 1, - aux_sym_null_hint_token3, - ACTIONS(2341), 1, - aux_sym_distinct_from_token1, - ACTIONS(2343), 1, - aux_sym_TRUE_token1, - ACTIONS(2345), 1, - aux_sym_FALSE_token1, - STATE(719), 4, - sym_distinct_from, - sym_NULL, - sym_TRUE, - sym_FALSE, - [67374] = 2, + ACTIONS(4585), 1, + aux_sym_at_time_zone_expression_token3, + [126270] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2347), 9, - aux_sym_null_hint_token2, - aux_sym_grant_statement_token4, - aux_sym_grant_statement_token5, - aux_sym_grant_statement_token6, - aux_sym_grant_statement_token7, - aux_sym_grant_statement_token8, - aux_sym_grant_statement_token9, - aux_sym_grant_statement_token10, - aux_sym_grant_statement_token11, - [67389] = 7, + ACTIONS(4587), 1, + aux_sym_at_time_zone_expression_token3, + [126277] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2349), 1, - aux_sym_sequence_token2, - ACTIONS(2351), 1, - aux_sym_null_hint_token3, - ACTIONS(2353), 1, - aux_sym_distinct_from_token1, - ACTIONS(2355), 1, - aux_sym_TRUE_token1, - ACTIONS(2357), 1, - aux_sym_FALSE_token1, - STATE(205), 4, - sym_distinct_from, - sym_NULL, - sym_TRUE, - sym_FALSE, - [67414] = 7, + ACTIONS(4589), 1, + aux_sym_at_time_zone_expression_token3, + [126284] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2359), 1, - aux_sym_sequence_token2, - ACTIONS(2361), 1, - aux_sym_null_hint_token3, - ACTIONS(2363), 1, - aux_sym_distinct_from_token1, - ACTIONS(2365), 1, - aux_sym_TRUE_token1, - ACTIONS(2367), 1, - aux_sym_FALSE_token1, - STATE(158), 4, - sym_distinct_from, - sym_NULL, - sym_TRUE, - sym_FALSE, - [67439] = 9, + ACTIONS(4591), 1, + aux_sym_sequence_token4, + [126291] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(227), 1, - sym__unquoted_identifier, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2369), 1, - aux_sym_alter_table_token2, - ACTIONS(2371), 1, - aux_sym_alter_table_token4, - STATE(10), 1, - sym__quoted_identifier, - STATE(142), 1, - sym_identifier, - STATE(1267), 2, - sym_dotted_name, - sym__identifier, - [67468] = 7, + ACTIONS(4593), 1, + aux_sym_at_time_zone_expression_token3, + [126298] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2373), 1, - aux_sym_sequence_token2, - ACTIONS(2375), 1, - aux_sym_null_hint_token3, - ACTIONS(2377), 1, - aux_sym_distinct_from_token1, - ACTIONS(2379), 1, - aux_sym_TRUE_token1, - ACTIONS(2381), 1, - aux_sym_FALSE_token1, - STATE(594), 4, - sym_distinct_from, - sym_NULL, - sym_TRUE, - sym_FALSE, - [67493] = 7, + ACTIONS(4595), 1, + aux_sym_number_token1, + [126305] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1099), 1, - aux_sym_null_hint_token3, - ACTIONS(2383), 1, - aux_sym_sequence_token2, - ACTIONS(2385), 1, - aux_sym_distinct_from_token1, - ACTIONS(2387), 1, - aux_sym_TRUE_token1, - ACTIONS(2389), 1, - aux_sym_FALSE_token1, - STATE(88), 4, - sym_distinct_from, - sym_NULL, - sym_TRUE, - sym_FALSE, - [67518] = 5, + ACTIONS(4597), 1, + anon_sym_BQUOTE, + [126312] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2391), 1, - anon_sym_DOT, - STATE(1228), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(87), 2, - aux_sym_sequence_token5, - sym__unquoted_identifier, - ACTIONS(85), 4, - anon_sym_COMMA, - anon_sym_RPAREN, - anon_sym_BQUOTE, + ACTIONS(4597), 1, anon_sym_DQUOTE, - [67538] = 8, + [126319] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(227), 1, - sym__unquoted_identifier, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2393), 1, - aux_sym_alter_table_token2, - STATE(10), 1, - sym__quoted_identifier, - STATE(142), 1, - sym_identifier, - STATE(1140), 2, - sym_dotted_name, - sym__identifier, - [67564] = 8, + ACTIONS(4599), 1, + anon_sym_RPAREN, + [126326] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(195), 1, - anon_sym_LBRACK, - ACTIONS(2299), 1, - aux_sym_null_hint_token3, - ACTIONS(2395), 1, - aux_sym_sequence_token2, - ACTIONS(2397), 1, - anon_sym_EQ, - STATE(820), 1, - sym_NULL, - STATE(907), 1, - sym_null_constraint, - ACTIONS(2399), 2, - anon_sym_COMMA, + ACTIONS(4601), 1, anon_sym_RPAREN, - [67590] = 8, + [126333] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2401), 1, - sym__unquoted_identifier, - ACTIONS(2403), 1, - anon_sym_BQUOTE, - ACTIONS(2405), 1, - anon_sym_DQUOTE, - STATE(1212), 1, - sym_identifier, - STATE(1297), 1, - sym__quoted_identifier, - STATE(1418), 1, - sym_exclude_entry, - STATE(1164), 2, - sym_dotted_name, - sym__identifier, - [67616] = 6, + ACTIONS(4603), 1, + aux_sym_at_time_zone_expression_token3, + [126340] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2339), 1, - aux_sym_null_hint_token3, - ACTIONS(2341), 1, - aux_sym_distinct_from_token1, - ACTIONS(2343), 1, - aux_sym_TRUE_token1, - ACTIONS(2345), 1, - aux_sym_FALSE_token1, - STATE(707), 4, - sym_distinct_from, - sym_NULL, - sym_TRUE, - sym_FALSE, - [67638] = 6, + ACTIONS(4605), 1, + anon_sym_SQUOTE, + [126347] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2329), 1, - aux_sym_null_hint_token3, - ACTIONS(2331), 1, - aux_sym_distinct_from_token1, - ACTIONS(2333), 1, - aux_sym_TRUE_token1, - ACTIONS(2335), 1, - aux_sym_FALSE_token1, - STATE(530), 4, - sym_distinct_from, - sym_NULL, - sym_TRUE, - sym_FALSE, - [67660] = 6, + ACTIONS(4605), 1, + anon_sym_DOLLAR_DOLLAR, + [126354] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2309), 1, - aux_sym_null_hint_token3, - ACTIONS(2311), 1, - aux_sym_distinct_from_token1, - ACTIONS(2313), 1, - aux_sym_TRUE_token1, - ACTIONS(2315), 1, - aux_sym_FALSE_token1, - STATE(845), 4, - sym_distinct_from, - sym_NULL, - sym_TRUE, - sym_FALSE, - [67682] = 8, + ACTIONS(4607), 1, + aux_sym_alter_table_token3, + [126361] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(227), 1, - sym__unquoted_identifier, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, + ACTIONS(4609), 1, + aux_sym_sequence_token4, + [126368] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4611), 1, anon_sym_DQUOTE, - ACTIONS(2407), 1, - aux_sym_alter_table_token4, - STATE(10), 1, - sym__quoted_identifier, - STATE(142), 1, - sym_identifier, - STATE(1278), 2, - sym_dotted_name, - sym__identifier, - [67708] = 8, + [126375] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(195), 1, - anon_sym_LBRACK, - ACTIONS(2299), 1, - aux_sym_null_hint_token3, - ACTIONS(2395), 1, - aux_sym_sequence_token2, - ACTIONS(2409), 1, - anon_sym_EQ, - STATE(820), 1, - sym_NULL, - STATE(907), 1, - sym_null_constraint, - ACTIONS(2411), 2, - anon_sym_COMMA, + ACTIONS(4611), 1, + anon_sym_BQUOTE, + [126382] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4613), 1, anon_sym_RPAREN, - [67734] = 6, + [126389] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2351), 1, - aux_sym_null_hint_token3, - ACTIONS(2353), 1, - aux_sym_distinct_from_token1, - ACTIONS(2355), 1, - aux_sym_TRUE_token1, - ACTIONS(2357), 1, - aux_sym_FALSE_token1, - STATE(233), 4, - sym_distinct_from, - sym_NULL, - sym_TRUE, - sym_FALSE, - [67756] = 8, + ACTIONS(4615), 1, + aux_sym_alter_table_token3, + [126396] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(227), 1, - sym__unquoted_identifier, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2413), 1, - aux_sym_alter_table_token2, - STATE(10), 1, - sym__quoted_identifier, - STATE(1338), 1, - sym_identifier, - STATE(1498), 2, - sym_dotted_name, - sym__identifier, - [67782] = 8, + ACTIONS(4617), 1, + aux_sym_at_time_zone_expression_token3, + [126403] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(195), 1, - anon_sym_LBRACK, - ACTIONS(2299), 1, - aux_sym_null_hint_token3, - ACTIONS(2395), 1, - aux_sym_sequence_token2, - ACTIONS(2415), 1, - anon_sym_EQ, - STATE(820), 1, - sym_NULL, - STATE(907), 1, - sym_null_constraint, - ACTIONS(2417), 2, - anon_sym_COMMA, + ACTIONS(4619), 1, + aux_sym_number_token1, + [126410] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4621), 1, + aux_sym_from_clause_token1, + [126417] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4623), 1, + aux_sym_at_time_zone_expression_token3, + [126424] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4625), 1, + aux_sym_from_clause_token1, + [126431] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4627), 1, + aux_sym_at_time_zone_expression_token3, + [126438] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4629), 1, anon_sym_RPAREN, - [67808] = 8, + [126445] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2401), 1, - sym__unquoted_identifier, - ACTIONS(2403), 1, - anon_sym_BQUOTE, - ACTIONS(2405), 1, - anon_sym_DQUOTE, - STATE(1212), 1, - sym_identifier, - STATE(1297), 1, - sym__quoted_identifier, - STATE(1507), 1, - sym_exclude_entry, - STATE(1164), 2, - sym_dotted_name, - sym__identifier, - [67834] = 8, + ACTIONS(4631), 1, + aux_sym_alter_table_rename_column_token2, + [126452] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(142), 1, - sym_identifier, - STATE(979), 1, - sym_type, - STATE(673), 2, - sym_dotted_name, - sym__identifier, - [67860] = 8, + ACTIONS(4633), 1, + aux_sym_at_time_zone_expression_token3, + [126459] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1833), 1, - anon_sym_BQUOTE, - ACTIONS(1835), 1, - anon_sym_DQUOTE, - ACTIONS(2419), 1, - sym__unquoted_identifier, - STATE(1097), 1, - sym_table_column, - STATE(1331), 1, - sym_identifier, - STATE(1353), 1, - sym__quoted_identifier, - STATE(1182), 2, - sym_dotted_name, - sym__identifier, - [67886] = 6, + ACTIONS(4635), 1, + anon_sym_RPAREN, + [126466] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2299), 1, - aux_sym_null_hint_token3, - ACTIONS(2301), 1, - aux_sym_distinct_from_token1, - ACTIONS(2303), 1, - aux_sym_TRUE_token1, - ACTIONS(2305), 1, - aux_sym_FALSE_token1, - STATE(107), 4, - sym_distinct_from, - sym_NULL, - sym_TRUE, - sym_FALSE, - [67908] = 5, + ACTIONS(4637), 1, + aux_sym_at_time_zone_expression_token3, + [126473] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2391), 1, - anon_sym_DOT, - STATE(1232), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(81), 2, - aux_sym_sequence_token5, - sym__unquoted_identifier, - ACTIONS(79), 4, - anon_sym_COMMA, + ACTIONS(4639), 1, + anon_sym_RBRACK, + [126480] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4641), 1, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - [67928] = 8, + [126487] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2401), 1, - sym__unquoted_identifier, - ACTIONS(2403), 1, - anon_sym_BQUOTE, - ACTIONS(2405), 1, - anon_sym_DQUOTE, - STATE(1212), 1, - sym_identifier, - STATE(1297), 1, - sym__quoted_identifier, - STATE(1405), 1, - sym_exclude_entry, - STATE(1164), 2, - sym_dotted_name, - sym__identifier, - [67954] = 6, + ACTIONS(4643), 1, + aux_sym_at_time_zone_expression_token3, + [126494] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2375), 1, - aux_sym_null_hint_token3, - ACTIONS(2377), 1, - aux_sym_distinct_from_token1, - ACTIONS(2379), 1, - aux_sym_TRUE_token1, - ACTIONS(2381), 1, - aux_sym_FALSE_token1, - STATE(585), 4, - sym_distinct_from, - sym_NULL, - sym_TRUE, - sym_FALSE, - [67976] = 8, + ACTIONS(4645), 1, + anon_sym_RPAREN, + [126501] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(227), 1, - sym__unquoted_identifier, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2421), 1, - aux_sym_alter_table_token2, - STATE(10), 1, - sym__quoted_identifier, - STATE(142), 1, - sym_identifier, - STATE(1099), 2, - sym_dotted_name, - sym__identifier, - [68002] = 5, + ACTIONS(4647), 1, + aux_sym_at_time_zone_expression_token3, + [126508] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2423), 1, - anon_sym_DOT, - STATE(1232), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(111), 2, - aux_sym_sequence_token5, - sym__unquoted_identifier, - ACTIONS(109), 4, - anon_sym_COMMA, + ACTIONS(4649), 1, + aux_sym_at_time_zone_expression_token3, + [126515] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4651), 1, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - [68022] = 8, + [126522] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(142), 1, - sym_identifier, - STATE(992), 1, - sym_type, - STATE(673), 2, - sym_dotted_name, - sym__identifier, - [68048] = 8, + ACTIONS(4653), 1, + aux_sym_at_time_zone_expression_token3, + [126529] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(227), 1, - sym__unquoted_identifier, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2426), 1, - aux_sym_alter_table_token2, - STATE(10), 1, - sym__quoted_identifier, - STATE(142), 1, - sym_identifier, - STATE(1113), 2, - sym_dotted_name, - sym__identifier, - [68074] = 8, + ACTIONS(4655), 1, + aux_sym_at_time_zone_expression_token3, + [126536] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(142), 1, - sym_identifier, - STATE(984), 1, - sym_type, - STATE(673), 2, - sym_dotted_name, - sym__identifier, - [68100] = 6, + ACTIONS(4657), 1, + aux_sym_at_time_zone_expression_token3, + [126543] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2289), 1, - aux_sym_null_hint_token3, - ACTIONS(2291), 1, - aux_sym_distinct_from_token1, - ACTIONS(2293), 1, - aux_sym_TRUE_token1, - ACTIONS(2295), 1, - aux_sym_FALSE_token1, - STATE(772), 4, - sym_distinct_from, - sym_NULL, - sym_TRUE, - sym_FALSE, - [68122] = 6, + ACTIONS(4659), 1, + aux_sym_at_time_zone_expression_token3, + [126550] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1605), 1, - aux_sym_null_hint_token3, - ACTIONS(2263), 1, - aux_sym_distinct_from_token1, - ACTIONS(2265), 1, - aux_sym_TRUE_token1, - ACTIONS(2267), 1, - aux_sym_FALSE_token1, - STATE(563), 4, - sym_distinct_from, - sym_NULL, - sym_TRUE, - sym_FALSE, - [68144] = 8, + ACTIONS(4661), 1, + aux_sym_at_time_zone_expression_token3, + [126557] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(227), 1, - sym__unquoted_identifier, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2428), 1, - aux_sym_alter_table_token2, - STATE(10), 1, - sym__quoted_identifier, - STATE(142), 1, - sym_identifier, - STATE(971), 2, - sym_dotted_name, - sym__identifier, - [68170] = 8, + ACTIONS(4663), 1, + aux_sym_at_time_zone_expression_token3, + [126564] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(195), 1, - anon_sym_LBRACK, - ACTIONS(2299), 1, - aux_sym_null_hint_token3, - ACTIONS(2395), 1, - aux_sym_sequence_token2, - ACTIONS(2430), 1, - anon_sym_EQ, - STATE(820), 1, - sym_NULL, - STATE(907), 1, - sym_null_constraint, - ACTIONS(2432), 2, - anon_sym_COMMA, - anon_sym_RPAREN, - [68196] = 6, + ACTIONS(4665), 1, + aux_sym_at_time_zone_expression_token3, + [126571] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2279), 1, - aux_sym_null_hint_token3, - ACTIONS(2281), 1, - aux_sym_distinct_from_token1, - ACTIONS(2283), 1, - aux_sym_TRUE_token1, - ACTIONS(2285), 1, - aux_sym_FALSE_token1, - STATE(649), 4, - sym_distinct_from, - sym_NULL, - sym_TRUE, - sym_FALSE, - [68218] = 6, + ACTIONS(4667), 1, + aux_sym_null_hint_token2, + [126578] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2361), 1, - aux_sym_null_hint_token3, - ACTIONS(2363), 1, - aux_sym_distinct_from_token1, - ACTIONS(2365), 1, - aux_sym_TRUE_token1, - ACTIONS(2367), 1, - aux_sym_FALSE_token1, - STATE(149), 4, - sym_distinct_from, - sym_NULL, - sym_TRUE, - sym_FALSE, - [68240] = 6, + ACTIONS(4669), 1, + anon_sym_DOLLAR_DOLLAR, + [126585] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1099), 1, - aux_sym_null_hint_token3, - ACTIONS(2385), 1, - aux_sym_distinct_from_token1, - ACTIONS(2387), 1, - aux_sym_TRUE_token1, - ACTIONS(2389), 1, - aux_sym_FALSE_token1, - STATE(97), 4, - sym_distinct_from, - sym_NULL, - sym_TRUE, - sym_FALSE, - [68262] = 6, + ACTIONS(4671), 1, + aux_sym_number_token1, + [126592] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1419), 1, - aux_sym_null_hint_token3, - ACTIONS(2271), 1, - aux_sym_distinct_from_token1, - ACTIONS(2273), 1, - aux_sym_TRUE_token1, - ACTIONS(2275), 1, - aux_sym_FALSE_token1, - STATE(494), 4, - sym_distinct_from, - sym_NULL, - sym_TRUE, - sym_FALSE, - [68284] = 8, + ACTIONS(4673), 1, + anon_sym_BQUOTE, + [126599] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(227), 1, - sym__unquoted_identifier, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, + ACTIONS(4673), 1, anon_sym_DQUOTE, - ACTIONS(2434), 1, - aux_sym_alter_table_token2, - STATE(10), 1, - sym__quoted_identifier, - STATE(1338), 1, - sym_identifier, - STATE(1535), 2, - sym_dotted_name, - sym__identifier, - [68310] = 6, + [126606] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2319), 1, - aux_sym_null_hint_token3, - ACTIONS(2321), 1, - aux_sym_distinct_from_token1, - ACTIONS(2323), 1, - aux_sym_TRUE_token1, - ACTIONS(2325), 1, - aux_sym_FALSE_token1, - STATE(837), 4, - sym_distinct_from, - sym_NULL, - sym_TRUE, - sym_FALSE, - [68332] = 8, + ACTIONS(4675), 1, + anon_sym_RPAREN, + [126613] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(227), 1, - sym__unquoted_identifier, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2436), 1, - aux_sym_grant_statement_token13, - ACTIONS(2438), 1, - aux_sym_grant_statement_token14, - STATE(10), 1, - sym__quoted_identifier, - STATE(1071), 1, - sym_identifier, - [68357] = 7, + ACTIONS(4669), 1, + anon_sym_SQUOTE, + [126620] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(142), 1, - sym_identifier, - STATE(1715), 2, - sym_dotted_name, - sym__identifier, - [68380] = 8, + ACTIONS(4677), 1, + anon_sym_RPAREN, + [126627] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(227), 1, - sym__unquoted_identifier, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2440), 1, - aux_sym_grant_statement_token13, - ACTIONS(2442), 1, - aux_sym_grant_statement_token14, - STATE(10), 1, - sym__quoted_identifier, - STATE(1088), 1, - sym_identifier, - [68405] = 7, + ACTIONS(4679), 1, + anon_sym_SQUOTE, + [126634] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(142), 1, - sym_identifier, - STATE(1713), 2, - sym_dotted_name, - sym__identifier, - [68428] = 8, + ACTIONS(4679), 1, + anon_sym_DOLLAR_DOLLAR, + [126641] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(227), 1, - sym__unquoted_identifier, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, + ACTIONS(4681), 1, anon_sym_DQUOTE, - ACTIONS(2444), 1, - aux_sym_grant_statement_token13, - ACTIONS(2446), 1, - aux_sym_grant_statement_token14, - STATE(10), 1, - sym__quoted_identifier, - STATE(1069), 1, - sym_identifier, - [68453] = 7, + [126648] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, + ACTIONS(4681), 1, anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(142), 1, - sym_identifier, - STATE(1147), 2, - sym_dotted_name, - sym__identifier, - [68476] = 7, + [126655] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(1338), 1, - sym_identifier, - STATE(1407), 2, - sym_dotted_name, - sym__identifier, - [68499] = 7, + ACTIONS(4683), 1, + aux_sym_at_time_zone_expression_token3, + [126662] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(142), 1, - sym_identifier, - STATE(1191), 2, - sym_dotted_name, - sym__identifier, - [68522] = 3, + ACTIONS(4685), 1, + aux_sym_at_time_zone_expression_token3, + [126669] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(111), 2, - aux_sym_sequence_token5, - sym__unquoted_identifier, - ACTIONS(109), 5, - anon_sym_COMMA, + ACTIONS(4687), 1, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_DOT, - [68537] = 7, + [126676] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(1338), 1, - sym_identifier, - STATE(1469), 2, - sym_dotted_name, - sym__identifier, - [68560] = 7, + ACTIONS(4689), 1, + aux_sym_number_token1, + [126683] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(1338), 1, - sym_identifier, - STATE(1495), 2, - sym_dotted_name, - sym__identifier, - [68583] = 7, + ACTIONS(4691), 1, + anon_sym_RPAREN, + [126690] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(142), 1, - sym_identifier, - STATE(1744), 2, - sym_dotted_name, - sym__identifier, - [68606] = 7, + ACTIONS(4693), 1, + aux_sym_at_time_zone_expression_token3, + [126697] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(142), 1, - sym_identifier, - STATE(977), 2, - sym_dotted_name, - sym__identifier, - [68629] = 7, + ACTIONS(4695), 1, + aux_sym_from_clause_token1, + [126704] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(142), 1, - sym_identifier, - STATE(1711), 2, - sym_dotted_name, - sym__identifier, - [68652] = 6, + ACTIONS(4697), 1, + aux_sym_at_time_zone_expression_token3, + [126711] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2448), 1, - aux_sym_alter_statement_token1, - ACTIONS(2450), 1, - aux_sym_alter_table_action_alter_column_token2, - ACTIONS(2452), 1, - aux_sym_alter_table_action_add_token1, - STATE(1094), 1, - sym_alter_table_action, - STATE(1111), 3, - sym_alter_table_action_alter_column, - sym_alter_table_action_add, - sym_alter_table_action_set, - [68673] = 8, + ACTIONS(4461), 1, + anon_sym_DOLLAR_DOLLAR, + [126718] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(227), 1, - sym__unquoted_identifier, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2454), 1, - aux_sym_grant_statement_token13, - ACTIONS(2456), 1, - aux_sym_grant_statement_token14, - STATE(10), 1, - sym__quoted_identifier, - STATE(1084), 1, - sym_identifier, - [68698] = 7, + ACTIONS(4699), 1, + aux_sym_at_time_zone_expression_token3, + [126725] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2458), 1, - sym__unquoted_identifier, - ACTIONS(2460), 1, - anon_sym_BQUOTE, - ACTIONS(2462), 1, - anon_sym_DQUOTE, - STATE(980), 1, - sym_identifier, - STATE(1011), 1, - sym__quoted_identifier, - STATE(968), 2, - sym_dotted_name, - sym__identifier, - [68721] = 7, + ACTIONS(4701), 1, + aux_sym_create_function_parameter_token1, + [126732] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(227), 1, - sym__unquoted_identifier, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - STATE(10), 1, - sym__quoted_identifier, - STATE(1471), 1, - sym_identifier, - ACTIONS(2464), 2, - aux_sym_set_statement_token1, - aux_sym_set_statement_token2, - [68744] = 8, + ACTIONS(4703), 1, + aux_sym_at_time_zone_expression_token3, + [126739] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(227), 1, - sym__unquoted_identifier, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2466), 1, - aux_sym_grant_statement_token13, - ACTIONS(2468), 1, - aux_sym_grant_statement_token14, - STATE(10), 1, - sym__quoted_identifier, - STATE(1070), 1, - sym_identifier, - [68769] = 7, + ACTIONS(4705), 1, + aux_sym_at_time_zone_expression_token3, + [126746] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(142), 1, - sym_identifier, - STATE(1734), 2, - sym_dotted_name, - sym__identifier, - [68792] = 7, + ACTIONS(4707), 1, + anon_sym_RPAREN, + [126753] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(1338), 1, - sym_identifier, - STATE(1708), 2, - sym_dotted_name, - sym__identifier, - [68815] = 6, + ACTIONS(4709), 1, + aux_sym_at_time_zone_expression_token3, + [126760] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2448), 1, - aux_sym_alter_statement_token1, - ACTIONS(2450), 1, - aux_sym_alter_table_action_alter_column_token2, - ACTIONS(2452), 1, - aux_sym_alter_table_action_add_token1, - STATE(1110), 1, - sym_alter_table_action, - STATE(1111), 3, - sym_alter_table_action_alter_column, - sym_alter_table_action_add, - sym_alter_table_action_set, - [68836] = 8, + ACTIONS(4711), 1, + anon_sym_RBRACK, + [126767] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(227), 1, - sym__unquoted_identifier, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2470), 1, - aux_sym_grant_statement_token13, - ACTIONS(2472), 1, - aux_sym_grant_statement_token14, - STATE(10), 1, - sym__quoted_identifier, - STATE(1073), 1, - sym_identifier, - [68861] = 7, + ACTIONS(4713), 1, + aux_sym_at_time_zone_expression_token2, + [126774] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(142), 1, - sym_identifier, - STATE(1009), 2, - sym_dotted_name, - sym__identifier, - [68884] = 7, + ACTIONS(4715), 1, + aux_sym_at_time_zone_expression_token3, + [126781] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(730), 1, - anon_sym_BQUOTE, - ACTIONS(732), 1, - anon_sym_DQUOTE, - ACTIONS(2186), 1, - sym__unquoted_identifier, - STATE(3), 1, - sym__quoted_identifier, - STATE(12), 1, - sym_identifier, - STATE(679), 2, - sym_dotted_name, - sym__identifier, - [68907] = 6, + ACTIONS(4717), 1, + anon_sym_RPAREN, + [126788] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2448), 1, - aux_sym_alter_statement_token1, - ACTIONS(2450), 1, - aux_sym_alter_table_action_alter_column_token2, - ACTIONS(2452), 1, - aux_sym_alter_table_action_add_token1, - STATE(1116), 1, - sym_alter_table_action, - STATE(1111), 3, - sym_alter_table_action_alter_column, - sym_alter_table_action_add, - sym_alter_table_action_set, - [68928] = 7, + ACTIONS(4719), 1, + aux_sym_at_time_zone_expression_token3, + [126795] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(195), 1, - anon_sym_LBRACK, - ACTIONS(2299), 1, - aux_sym_null_hint_token3, - ACTIONS(2395), 1, - aux_sym_sequence_token2, - STATE(820), 1, - sym_NULL, - STATE(907), 1, - sym_null_constraint, - ACTIONS(2474), 2, - anon_sym_COMMA, + ACTIONS(4721), 1, + aux_sym_at_time_zone_expression_token3, + [126802] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4723), 1, anon_sym_RPAREN, - [68951] = 7, + [126809] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(142), 1, - sym_identifier, - STATE(1271), 2, - sym_dotted_name, - sym__identifier, - [68974] = 7, + ACTIONS(4725), 1, + aux_sym_at_time_zone_expression_token3, + [126816] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(1338), 1, - sym_identifier, - STATE(1491), 2, - sym_dotted_name, - sym__identifier, - [68997] = 7, + ACTIONS(4727), 1, + aux_sym_at_time_zone_expression_token3, + [126823] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(142), 1, - sym_identifier, - STATE(1351), 2, - sym_dotted_name, - sym__identifier, - [69020] = 7, + ACTIONS(4729), 1, + aux_sym_at_time_zone_expression_token3, + [126830] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(1338), 1, - sym_identifier, - STATE(1380), 2, - sym_dotted_name, - sym__identifier, - [69043] = 7, + ACTIONS(4731), 1, + aux_sym_at_time_zone_expression_token3, + [126837] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(142), 1, - sym_identifier, - STATE(1260), 2, - sym_dotted_name, - sym__identifier, - [69066] = 6, + ACTIONS(4733), 1, + aux_sym_at_time_zone_expression_token3, + [126844] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2448), 1, - aux_sym_alter_statement_token1, - ACTIONS(2450), 1, - aux_sym_alter_table_action_alter_column_token2, - ACTIONS(2452), 1, - aux_sym_alter_table_action_add_token1, - STATE(1093), 1, - sym_alter_table_action, - STATE(1111), 3, - sym_alter_table_action_alter_column, - sym_alter_table_action_add, - sym_alter_table_action_set, - [69087] = 7, + ACTIONS(4735), 1, + aux_sym_at_time_zone_expression_token3, + [126851] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(142), 1, - sym_identifier, - STATE(1189), 2, - sym_dotted_name, - sym__identifier, - [69110] = 7, + ACTIONS(4737), 1, + aux_sym_at_time_zone_expression_token3, + [126858] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(2168), 1, - sym__unquoted_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(266), 1, - sym_identifier, - STATE(679), 2, - sym_dotted_name, - sym__identifier, - [69133] = 7, + ACTIONS(4739), 1, + aux_sym_join_clause_token1, + [126865] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(142), 1, - sym_identifier, - STATE(1718), 2, - sym_dotted_name, - sym__identifier, - [69156] = 7, + ACTIONS(4741), 1, + aux_sym_cte_token3, + [126872] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4743), 1, + aux_sym_number_token1, + [126879] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, + ACTIONS(4745), 1, anon_sym_BQUOTE, - ACTIONS(231), 1, + [126886] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4745), 1, anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(142), 1, - sym_identifier, - STATE(1354), 2, - sym_dotted_name, - sym__identifier, - [69179] = 3, + [126893] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(77), 2, - aux_sym_sequence_token5, - sym__unquoted_identifier, - ACTIONS(75), 5, - anon_sym_COMMA, + ACTIONS(4747), 1, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_DOT, - [69194] = 7, + [126900] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(142), 1, - sym_identifier, - STATE(1750), 2, - sym_dotted_name, - sym__identifier, - [69217] = 7, + ACTIONS(4749), 1, + anon_sym_LPAREN, + [126907] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(142), 1, - sym_identifier, - STATE(1098), 2, - sym_dotted_name, - sym__identifier, - [69240] = 7, + ACTIONS(4751), 1, + anon_sym_LPAREN, + [126914] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(142), 1, - sym_identifier, - STATE(1723), 2, - sym_dotted_name, - sym__identifier, - [69263] = 7, + ACTIONS(4753), 1, + anon_sym_SQUOTE, + [126921] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(142), 1, - sym_identifier, - STATE(1095), 2, - sym_dotted_name, - sym__identifier, - [69286] = 7, + ACTIONS(4753), 1, + anon_sym_DOLLAR_DOLLAR, + [126928] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(142), 1, - sym_identifier, - STATE(679), 2, - sym_dotted_name, - sym__identifier, - [69309] = 7, + ACTIONS(4755), 1, + aux_sym_cte_token2, + [126935] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(142), 1, - sym_identifier, - STATE(1314), 2, - sym_dotted_name, - sym__identifier, - [69332] = 7, + ACTIONS(4757), 1, + aux_sym_alter_table_token3, + [126942] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(142), 1, - sym_identifier, - STATE(1067), 2, - sym_dotted_name, - sym__identifier, - [69355] = 8, + ACTIONS(4759), 1, + aux_sym_alter_table_token3, + [126949] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(1025), 1, - sym_assigment_expression, - STATE(1075), 1, - sym_set_clause_body, - STATE(1735), 1, - sym_identifier, - [69380] = 7, + ACTIONS(4761), 1, + aux_sym_at_time_zone_expression_token3, + [126956] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(1338), 1, - sym_identifier, - STATE(1511), 2, - sym_dotted_name, - sym__identifier, - [69403] = 7, + ACTIONS(4763), 1, + anon_sym_RPAREN, + [126963] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(1338), 1, - sym_identifier, - STATE(1466), 2, - sym_dotted_name, - sym__identifier, - [69426] = 7, + ACTIONS(4765), 1, + aux_sym_with_clause_token1, + [126970] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(142), 1, - sym_identifier, - STATE(1798), 2, - sym_dotted_name, - sym__identifier, - [69449] = 7, + ACTIONS(4767), 1, + aux_sym_at_time_zone_expression_token3, + [126977] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(142), 1, - sym_identifier, - STATE(1770), 2, - sym_dotted_name, - sym__identifier, - [69472] = 7, + ACTIONS(4769), 1, + aux_sym_at_time_zone_expression_token3, + [126984] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(142), 1, - sym_identifier, - STATE(973), 2, - sym_dotted_name, - sym__identifier, - [69495] = 3, + ACTIONS(4771), 1, + aux_sym_from_clause_token1, + [126991] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(69), 2, - aux_sym_sequence_token5, - sym__unquoted_identifier, - ACTIONS(67), 5, - anon_sym_COMMA, + ACTIONS(4773), 1, + aux_sym_at_time_zone_expression_token3, + [126998] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4775), 1, anon_sym_RPAREN, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_DOT, - [69510] = 7, + [127005] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(142), 1, - sym_identifier, - STATE(1797), 2, - sym_dotted_name, - sym__identifier, - [69533] = 7, + ACTIONS(4777), 1, + aux_sym_sequence_token4, + [127012] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(1059), 1, - sym_assigment_expression, - STATE(1735), 1, - sym_identifier, - [69555] = 7, + ACTIONS(4779), 1, + aux_sym_at_time_zone_expression_token3, + [127019] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1833), 1, - anon_sym_BQUOTE, - ACTIONS(1835), 1, - anon_sym_DQUOTE, - ACTIONS(2419), 1, - sym__unquoted_identifier, - STATE(1166), 1, - sym_identifier, - STATE(1353), 1, - sym__quoted_identifier, - STATE(1364), 1, - sym_parameter, - [69577] = 7, + ACTIONS(4781), 1, + aux_sym_create_function_statement_token3, + [127026] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1833), 1, - anon_sym_BQUOTE, - ACTIONS(1835), 1, - anon_sym_DQUOTE, - ACTIONS(2419), 1, - sym__unquoted_identifier, - STATE(1166), 1, - sym_identifier, - STATE(1353), 1, - sym__quoted_identifier, - STATE(1514), 1, - sym_parameter, - [69599] = 6, + ACTIONS(4783), 1, + aux_sym_at_time_zone_expression_token3, + [127033] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(155), 1, - anon_sym_BQUOTE, - ACTIONS(157), 1, - anon_sym_DQUOTE, - ACTIONS(2257), 1, - sym__unquoted_identifier, - STATE(244), 1, - sym__quoted_identifier, - STATE(366), 1, - sym_identifier, - [69618] = 6, + ACTIONS(4785), 1, + anon_sym_RPAREN, + [127040] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(890), 1, - anon_sym_BQUOTE, - ACTIONS(892), 1, - anon_sym_DQUOTE, - ACTIONS(2255), 1, - sym__unquoted_identifier, - STATE(138), 1, - sym__quoted_identifier, - STATE(173), 1, - sym_identifier, - [69637] = 6, + ACTIONS(4787), 1, + aux_sym_at_time_zone_expression_token3, + [127047] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(2168), 1, - sym__unquoted_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(272), 1, - sym_identifier, - [69656] = 4, + ACTIONS(4789), 1, + anon_sym_RBRACK, + [127054] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2476), 1, - anon_sym_DOT, - STATE(1305), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(109), 3, - sym__unquoted_identifier, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - [69671] = 6, + ACTIONS(4791), 1, + aux_sym_alter_table_token3, + [127061] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4793), 1, + aux_sym_at_time_zone_expression_token3, + [127068] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(794), 1, - anon_sym_BQUOTE, - ACTIONS(796), 1, - anon_sym_DQUOTE, - ACTIONS(2253), 1, - sym__unquoted_identifier, - STATE(208), 1, - sym__quoted_identifier, - STATE(262), 1, - sym_identifier, - [69690] = 6, + ACTIONS(4795), 1, + anon_sym_RPAREN, + [127075] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(1069), 1, - sym_identifier, - [69709] = 6, + ACTIONS(4797), 1, + aux_sym_at_time_zone_expression_token3, + [127082] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(584), 1, - anon_sym_BQUOTE, - ACTIONS(586), 1, - anon_sym_DQUOTE, - ACTIONS(2259), 1, - sym__unquoted_identifier, - STATE(253), 1, - sym__quoted_identifier, - STATE(454), 1, - sym_identifier, - [69728] = 2, + ACTIONS(4799), 1, + aux_sym_at_time_zone_expression_token3, + [127089] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2479), 5, - anon_sym_COMMA, + ACTIONS(4801), 1, anon_sym_RPAREN, - sym__unquoted_identifier, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - [69739] = 6, + [127096] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(1689), 1, - sym_identifier, - [69758] = 6, + ACTIONS(4803), 1, + aux_sym_at_time_zone_expression_token3, + [127103] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1891), 1, - anon_sym_RPAREN, - ACTIONS(1927), 1, - aux_sym_grant_statement_token13, - ACTIONS(1929), 1, - aux_sym_order_by_clause_token1, - STATE(1083), 1, - sym_order_by_clause, - STATE(1425), 1, - sym_group_by_clause, - [69777] = 3, + ACTIONS(4805), 1, + aux_sym_at_time_zone_expression_token3, + [127110] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2481), 1, - anon_sym_TABLE, - ACTIONS(2483), 4, - anon_sym_VIEW, - anon_sym_TABLESPACE, - anon_sym_EXTENSION, - anon_sym_INDEX, - [69790] = 6, + ACTIONS(4807), 1, + aux_sym_at_time_zone_expression_token3, + [127117] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(634), 1, - anon_sym_BQUOTE, - ACTIONS(636), 1, - anon_sym_DQUOTE, - ACTIONS(2239), 1, - sym__unquoted_identifier, - STATE(675), 1, - sym__quoted_identifier, - STATE(737), 1, - sym_identifier, - [69809] = 5, + ACTIONS(4809), 1, + aux_sym_at_time_zone_expression_token3, + [127124] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(25), 1, - aux_sym_grant_statement_token4, - ACTIONS(2485), 1, - aux_sym_values_clause_token1, - STATE(857), 1, - sym_select_clause, - STATE(1133), 2, - sym_select_statement, - sym_values_clause, - [69826] = 6, + ACTIONS(4811), 1, + aux_sym_at_time_zone_expression_token3, + [127131] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(1437), 1, - sym_identifier, - [69845] = 6, + ACTIONS(4813), 1, + aux_sym_at_time_zone_expression_token3, + [127138] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1833), 1, - anon_sym_BQUOTE, - ACTIONS(1835), 1, - anon_sym_DQUOTE, - ACTIONS(2419), 1, - sym__unquoted_identifier, - STATE(1353), 1, - sym__quoted_identifier, - STATE(1356), 1, - sym_identifier, - [69864] = 6, + ACTIONS(4815), 1, + aux_sym_at_time_zone_expression_token3, + [127145] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, + ACTIONS(4817), 1, anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(1658), 1, - sym_identifier, - [69883] = 6, + [127152] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(49), 1, - anon_sym_BQUOTE, - ACTIONS(51), 1, + ACTIONS(4817), 1, anon_sym_DQUOTE, - ACTIONS(2231), 1, - sym__unquoted_identifier, - STATE(14), 1, - sym__quoted_identifier, - STATE(24), 1, - sym_identifier, - [69902] = 6, + [127159] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1597), 1, - anon_sym_RPAREN, - ACTIONS(1927), 1, - aux_sym_grant_statement_token13, - ACTIONS(1929), 1, - aux_sym_order_by_clause_token1, - STATE(1081), 1, - sym_order_by_clause, - STATE(1381), 1, - sym_group_by_clause, - [69921] = 6, + ACTIONS(4819), 1, + aux_sym_alter_table_token3, + [127166] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(1460), 1, - sym_identifier, - [69940] = 6, + ACTIONS(4821), 1, + aux_sym_at_time_zone_expression_token3, + [127173] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2401), 1, - sym__unquoted_identifier, - ACTIONS(2403), 1, - anon_sym_BQUOTE, - ACTIONS(2405), 1, - anon_sym_DQUOTE, - STATE(1254), 1, - sym_identifier, - STATE(1297), 1, - sym__quoted_identifier, - [69959] = 6, + ACTIONS(4823), 1, + aux_sym_at_time_zone_expression_token3, + [127180] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(826), 1, - anon_sym_BQUOTE, - ACTIONS(828), 1, - anon_sym_DQUOTE, - ACTIONS(2241), 1, - sym__unquoted_identifier, - STATE(683), 1, - sym__quoted_identifier, - STATE(738), 1, - sym_identifier, - [69978] = 6, + ACTIONS(4825), 1, + anon_sym_RPAREN, + [127187] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(957), 1, - sym_identifier, - [69997] = 6, + ACTIONS(4827), 1, + aux_sym_at_time_zone_expression_token3, + [127194] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(479), 1, - anon_sym_BQUOTE, - ACTIONS(481), 1, - anon_sym_DQUOTE, - ACTIONS(2168), 1, - sym__unquoted_identifier, - STATE(216), 1, - sym__quoted_identifier, - STATE(902), 1, - sym_identifier, - [70016] = 6, + ACTIONS(4829), 1, + aux_sym_at_time_zone_expression_token3, + [127201] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(1071), 1, - sym_identifier, - [70035] = 6, + ACTIONS(4831), 1, + anon_sym_RPAREN, + [127208] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(949), 1, - sym_identifier, - [70054] = 6, + ACTIONS(4833), 1, + aux_sym_at_time_zone_expression_token3, + [127215] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(1079), 1, - sym_identifier, - [70073] = 6, + ACTIONS(4835), 1, + aux_sym_at_time_zone_expression_token3, + [127222] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(1376), 1, - sym_identifier, - [70092] = 6, + ACTIONS(4837), 1, + anon_sym_RPAREN, + [127229] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(1483), 1, - sym_identifier, - [70111] = 6, + ACTIONS(4839), 1, + aux_sym_at_time_zone_expression_token3, + [127236] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2458), 1, - sym__unquoted_identifier, - ACTIONS(2460), 1, - anon_sym_BQUOTE, - ACTIONS(2462), 1, - anon_sym_DQUOTE, - STATE(1001), 1, - sym_identifier, - STATE(1011), 1, - sym__quoted_identifier, - [70130] = 4, + ACTIONS(4841), 1, + aux_sym_at_time_zone_expression_token3, + [127243] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2487), 1, - anon_sym_DOT, - STATE(1339), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(85), 3, - sym__unquoted_identifier, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - [70145] = 6, + ACTIONS(4843), 1, + aux_sym_at_time_zone_expression_token3, + [127250] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1613), 1, - anon_sym_RPAREN, - ACTIONS(1927), 1, - aux_sym_grant_statement_token13, - ACTIONS(1929), 1, - aux_sym_order_by_clause_token1, - STATE(1089), 1, - sym_order_by_clause, - STATE(1417), 1, - sym_group_by_clause, - [70164] = 6, + ACTIONS(4845), 1, + aux_sym_at_time_zone_expression_token3, + [127257] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(730), 1, - anon_sym_BQUOTE, - ACTIONS(732), 1, - anon_sym_DQUOTE, - ACTIONS(2186), 1, - sym__unquoted_identifier, - STATE(3), 1, - sym__quoted_identifier, - STATE(17), 1, - sym_identifier, - [70183] = 6, + ACTIONS(4847), 1, + aux_sym_at_time_zone_expression_token3, + [127264] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(858), 1, - anon_sym_BQUOTE, - ACTIONS(860), 1, - anon_sym_DQUOTE, - ACTIONS(2233), 1, - sym__unquoted_identifier, - STATE(607), 1, - sym__quoted_identifier, - STATE(660), 1, - sym_identifier, - [70202] = 6, + ACTIONS(4849), 1, + aux_sym_at_time_zone_expression_token3, + [127271] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(1072), 1, - sym_identifier, - [70221] = 6, + ACTIONS(4851), 1, + aux_sym_at_time_zone_expression_token3, + [127278] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, + ACTIONS(4853), 1, anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(24), 1, - sym_identifier, - [70240] = 6, + [127285] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(698), 1, - anon_sym_BQUOTE, - ACTIONS(700), 1, + ACTIONS(4853), 1, anon_sym_DQUOTE, - ACTIONS(2225), 1, - sym__unquoted_identifier, - STATE(16), 1, - sym__quoted_identifier, - STATE(28), 1, - sym_identifier, - [70259] = 4, + [127292] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2489), 1, - anon_sym_DOT, - STATE(1343), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(85), 3, - anon_sym_LPAREN, - anon_sym_COMMA, - anon_sym_RPAREN, - [70274] = 4, + ACTIONS(4855), 1, + aux_sym_null_hint_token2, + [127299] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2487), 1, - anon_sym_DOT, - STATE(1305), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(79), 3, - sym__unquoted_identifier, - anon_sym_BQUOTE, - anon_sym_DQUOTE, - [70289] = 6, + ACTIONS(4857), 1, + aux_sym_alter_table_action_alter_column_token1, + [127306] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(730), 1, + ACTIONS(4859), 1, anon_sym_BQUOTE, - ACTIONS(732), 1, - anon_sym_DQUOTE, - ACTIONS(2186), 1, - sym__unquoted_identifier, - STATE(3), 1, - sym__quoted_identifier, - STATE(902), 1, - sym_identifier, - [70308] = 6, + [127313] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, + ACTIONS(4859), 1, anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(1085), 1, - sym_identifier, - [70327] = 6, + [127320] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4861), 1, + aux_sym_alter_table_rename_column_token2, + [127327] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, + ACTIONS(4863), 1, anon_sym_BQUOTE, - ACTIONS(231), 1, + [127334] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4863), 1, anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(1474), 1, - sym_identifier, - [70346] = 4, + [127341] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2489), 1, - anon_sym_DOT, - STATE(1349), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(79), 3, - anon_sym_LPAREN, - anon_sym_COMMA, - anon_sym_RPAREN, - [70361] = 6, + ACTIONS(4865), 1, + aux_sym_alter_table_rename_column_token2, + [127348] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(549), 1, + ACTIONS(4867), 1, anon_sym_BQUOTE, - ACTIONS(551), 1, - anon_sym_DQUOTE, - ACTIONS(2237), 1, - sym__unquoted_identifier, - STATE(23), 1, - sym__quoted_identifier, - STATE(37), 1, - sym_identifier, - [70380] = 6, + [127355] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(666), 1, - anon_sym_BQUOTE, - ACTIONS(668), 1, + ACTIONS(4867), 1, anon_sym_DQUOTE, - ACTIONS(2235), 1, - sym__unquoted_identifier, - STATE(663), 1, - sym__quoted_identifier, - STATE(672), 1, - sym_identifier, - [70399] = 6, + [127362] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(171), 1, - sym_identifier, - [70418] = 6, + ACTIONS(4869), 1, + anon_sym_RPAREN, + [127369] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, + ACTIONS(4871), 1, anon_sym_BQUOTE, - ACTIONS(231), 1, - anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(1074), 1, - sym_identifier, - [70437] = 6, + [127376] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(229), 1, - anon_sym_BQUOTE, - ACTIONS(231), 1, + ACTIONS(4871), 1, anon_sym_DQUOTE, - ACTIONS(2198), 1, - sym__unquoted_identifier, - STATE(10), 1, - sym__quoted_identifier, - STATE(1526), 1, - sym_identifier, - [70456] = 4, + [127383] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2491), 1, - anon_sym_DOT, - STATE(1349), 1, - aux_sym_dotted_name_repeat1, - ACTIONS(109), 3, - anon_sym_LPAREN, - anon_sym_COMMA, - anon_sym_RPAREN, - [70471] = 3, + ACTIONS(4873), 1, + aux_sym_null_hint_token2, + [127390] = 2, ACTIONS(3), 1, sym_comment, - STATE(1472), 1, - sym_binary_operator, - ACTIONS(2494), 3, - anon_sym_EQ, - anon_sym_AMP_AMP, - anon_sym_PIPE_PIPE, - [70483] = 5, + ACTIONS(4875), 1, + anon_sym_BQUOTE, + [127397] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2496), 1, - anon_sym_LPAREN, - ACTIONS(2498), 1, - aux_sym_table_constraint_exclude_token2, - STATE(990), 1, - sym_index_table_parameters, - STATE(1543), 1, - sym_using_clause, - [70499] = 4, + ACTIONS(4875), 1, + anon_sym_DQUOTE, + [127404] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2500), 1, - anon_sym_COMMA, - STATE(1352), 1, - aux_sym_group_by_clause_body_repeat1, - ACTIONS(1041), 2, + ACTIONS(4877), 1, anon_sym_RPAREN, - aux_sym_order_by_clause_token1, - [70513] = 2, + [127411] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(67), 4, - sym__unquoted_identifier, + ACTIONS(4879), 1, anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_DOT, - [70523] = 5, + [127418] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2496), 1, - anon_sym_LPAREN, - ACTIONS(2498), 1, - aux_sym_table_constraint_exclude_token2, - STATE(981), 1, - sym_index_table_parameters, - STATE(1521), 1, - sym_using_clause, - [70539] = 3, + ACTIONS(4879), 1, + anon_sym_DQUOTE, + [127425] = 2, ACTIONS(3), 1, sym_comment, - STATE(1502), 1, - sym_binary_operator, - ACTIONS(2494), 3, - anon_sym_EQ, - anon_sym_AMP_AMP, - anon_sym_PIPE_PIPE, - [70551] = 2, + ACTIONS(4881), 1, + aux_sym_from_clause_token1, + [127432] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(109), 4, - sym__unquoted_identifier, + ACTIONS(4883), 1, anon_sym_BQUOTE, + [127439] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(4883), 1, anon_sym_DQUOTE, - anon_sym_DOT, - [70561] = 5, + [127446] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2024), 1, - aux_sym_sequence_token1, - ACTIONS(2503), 1, - aux_sym_alter_table_token1, - STATE(1112), 1, - sym_sequence, - STATE(1114), 1, - sym_alter_table, - [70577] = 2, + ACTIONS(4885), 1, + aux_sym_at_time_zone_expression_token3, + [127453] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(75), 4, - sym__unquoted_identifier, + ACTIONS(4887), 1, anon_sym_BQUOTE, - anon_sym_DQUOTE, - anon_sym_DOT, - [70587] = 4, + [127460] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2505), 1, - aux_sym_alter_table_action_alter_column_token2, - STATE(777), 1, - sym__constraint_action, - ACTIONS(2507), 2, - aux_sym__constraint_action_token1, - aux_sym__constraint_action_token2, - [70601] = 4, + ACTIONS(4887), 1, + anon_sym_DQUOTE, + [127467] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1461), 1, - anon_sym_COMMA, - STATE(1352), 1, - aux_sym_group_by_clause_body_repeat1, - ACTIONS(1923), 2, - anon_sym_RPAREN, - aux_sym_order_by_clause_token1, - [70615] = 4, + ACTIONS(4889), 1, + anon_sym_EQ, + [127474] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2505), 1, - aux_sym_alter_table_action_alter_column_token2, - STATE(776), 1, - sym__constraint_action, - ACTIONS(2509), 2, - aux_sym__constraint_action_token1, - aux_sym__constraint_action_token2, - [70629] = 3, + ACTIONS(4891), 1, + anon_sym_BQUOTE, + [127481] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2511), 1, - aux_sym_sequence_token5, - ACTIONS(2513), 2, - anon_sym_COMMA, - anon_sym_RPAREN, - [70640] = 4, + ACTIONS(4891), 1, + anon_sym_DQUOTE, + [127488] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(830), 1, - anon_sym_SQUOTE, - ACTIONS(832), 1, - anon_sym_DOLLAR_DOLLAR, - STATE(824), 1, - sym_string, - [70653] = 4, + ACTIONS(4893), 1, + aux_sym_at_time_zone_expression_token3, + [127495] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2515), 1, - anon_sym_COMMA, - ACTIONS(2517), 1, - anon_sym_RPAREN, - STATE(1410), 1, - aux_sym_parameters_repeat1, - [70666] = 4, + ACTIONS(4895), 1, + anon_sym_BQUOTE, + [127502] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1505), 1, - anon_sym_COMMA, - ACTIONS(2519), 1, - anon_sym_RPAREN, - STATE(1384), 1, - aux_sym_group_by_clause_body_repeat1, - [70679] = 4, + ACTIONS(4895), 1, + anon_sym_DQUOTE, + [127509] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1505), 1, - anon_sym_COMMA, - ACTIONS(2521), 1, - anon_sym_RPAREN, - STATE(1384), 1, - aux_sym_group_by_clause_body_repeat1, - [70692] = 4, + ACTIONS(4897), 1, + aux_sym_null_hint_token2, + [127516] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1505), 1, - anon_sym_COMMA, - ACTIONS(2523), 1, - anon_sym_RPAREN, - STATE(1384), 1, - aux_sym_group_by_clause_body_repeat1, - [70705] = 4, + ACTIONS(4899), 1, + anon_sym_BQUOTE, + [127523] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1505), 1, - anon_sym_COMMA, - ACTIONS(2525), 1, - anon_sym_RPAREN, - STATE(1384), 1, - aux_sym_group_by_clause_body_repeat1, - [70718] = 4, + ACTIONS(4899), 1, + anon_sym_DQUOTE, + [127530] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - STATE(621), 1, - sym_string, - [70731] = 4, + ACTIONS(4901), 1, + anon_sym_LPAREN, + [127537] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1505), 1, - anon_sym_COMMA, - ACTIONS(2527), 1, - anon_sym_RPAREN, - STATE(1384), 1, - aux_sym_group_by_clause_body_repeat1, - [70744] = 4, + ACTIONS(4903), 1, + anon_sym_BQUOTE, + [127544] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2529), 1, - aux_sym_sequence_token7, - ACTIONS(2531), 1, - aux_sym_number_token1, - STATE(1007), 1, - sym_number, - [70757] = 4, + ACTIONS(4903), 1, + anon_sym_DQUOTE, + [127551] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1505), 1, - anon_sym_COMMA, - ACTIONS(2533), 1, + ACTIONS(4905), 1, anon_sym_RPAREN, - STATE(1384), 1, - aux_sym_group_by_clause_body_repeat1, - [70770] = 4, + [127558] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2535), 1, - anon_sym_COMMA, - ACTIONS(2537), 1, - anon_sym_RPAREN, - STATE(1415), 1, - aux_sym_table_constraint_exclude_repeat1, - [70783] = 4, + ACTIONS(4907), 1, + anon_sym_BQUOTE, + [127565] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(53), 1, - anon_sym_SQUOTE, - ACTIONS(55), 1, - anon_sym_DOLLAR_DOLLAR, - STATE(125), 1, - sym_string, - [70796] = 2, + ACTIONS(4907), 1, + anon_sym_DQUOTE, + [127572] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2539), 3, - aux_sym_sequence_token5, - anon_sym_COMMA, - anon_sym_RPAREN, - [70805] = 4, + ACTIONS(4909), 1, + aux_sym_alter_table_token3, + [127579] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2541), 1, - anon_sym_COMMA, - ACTIONS(2543), 1, - anon_sym_RPAREN, - STATE(1430), 1, - aux_sym_create_index_include_clause_repeat1, - [70818] = 4, + ACTIONS(4911), 1, + anon_sym_BQUOTE, + [127586] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1505), 1, - anon_sym_COMMA, - ACTIONS(2545), 1, - anon_sym_RPAREN, - STATE(1384), 1, - aux_sym_group_by_clause_body_repeat1, - [70831] = 4, + ACTIONS(4911), 1, + anon_sym_DQUOTE, + [127593] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(638), 1, - anon_sym_SQUOTE, - ACTIONS(640), 1, - anon_sym_DOLLAR_DOLLAR, - STATE(858), 1, - sym_string, - [70844] = 4, + ACTIONS(4913), 1, + aux_sym_create_function_statement_token3, + [127600] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2547), 1, - anon_sym_COMMA, - ACTIONS(2549), 1, - anon_sym_RPAREN, - STATE(1436), 1, - aux_sym_table_constraint_unique_repeat1, - [70857] = 4, + ACTIONS(4915), 1, + anon_sym_BQUOTE, + [127607] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2547), 1, - anon_sym_COMMA, - ACTIONS(2551), 1, - anon_sym_RPAREN, - STATE(1440), 1, - aux_sym_table_constraint_unique_repeat1, - [70870] = 4, + ACTIONS(4915), 1, + anon_sym_DQUOTE, + [127614] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1613), 1, - anon_sym_RPAREN, - ACTIONS(1929), 1, - aux_sym_order_by_clause_token1, - STATE(1089), 1, - sym_order_by_clause, - [70883] = 4, + ACTIONS(4917), 1, + aux_sym_table_constraint_foreign_key_token2, + [127621] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2541), 1, - anon_sym_COMMA, - ACTIONS(2553), 1, - anon_sym_RPAREN, - STATE(1442), 1, - aux_sym_create_index_include_clause_repeat1, - [70896] = 4, + ACTIONS(4253), 1, + anon_sym_BQUOTE, + [127628] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2555), 1, - anon_sym_COMMA, - ACTIONS(2557), 1, - anon_sym_RPAREN, - STATE(1389), 1, - aux_sym_create_function_parameters_repeat1, - [70909] = 4, + ACTIONS(4919), 1, + aux_sym_at_time_zone_expression_token3, + [127635] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1041), 1, - anon_sym_RPAREN, - ACTIONS(2559), 1, - anon_sym_COMMA, - STATE(1384), 1, - aux_sym_group_by_clause_body_repeat1, - [70922] = 4, + ACTIONS(4921), 1, + anon_sym_LPAREN, + [127642] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(483), 1, - anon_sym_SQUOTE, - ACTIONS(485), 1, - anon_sym_DOLLAR_DOLLAR, - STATE(559), 1, - sym_string, - [70935] = 4, + ACTIONS(4923), 1, + aux_sym_table_constraint_foreign_key_token2, + [127649] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(670), 1, - anon_sym_SQUOTE, - ACTIONS(672), 1, - anon_sym_DOLLAR_DOLLAR, - STATE(758), 1, - sym_string, - [70948] = 4, + ACTIONS(4925), 1, + aux_sym_at_time_zone_expression_token3, + [127656] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(588), 1, - anon_sym_SQUOTE, - ACTIONS(590), 1, - anon_sym_DOLLAR_DOLLAR, - STATE(628), 1, - sym_string, - [70961] = 3, + ACTIONS(4927), 1, + aux_sym_insert_statement_token2, + [127663] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2397), 1, - anon_sym_EQ, - ACTIONS(2399), 2, - anon_sym_COMMA, - anon_sym_RPAREN, - [70972] = 4, + ACTIONS(4929), 1, + anon_sym_RBRACK, + [127670] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2555), 1, - anon_sym_COMMA, - ACTIONS(2562), 1, - anon_sym_RPAREN, - STATE(1413), 1, - aux_sym_create_function_parameters_repeat1, - [70985] = 4, - ACTIONS(3), 1, + ACTIONS(4931), 1, + aux_sym_at_time_zone_expression_token3, + [127677] = 2, + ACTIONS(4933), 1, + aux_sym__quoted_identifier_token1, + ACTIONS(4935), 1, sym_comment, - ACTIONS(638), 1, - anon_sym_SQUOTE, - ACTIONS(640), 1, - anon_sym_DOLLAR_DOLLAR, - STATE(861), 1, - sym_string, - [70998] = 4, + [127684] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(4937), 1, + aux_sym__quoted_identifier_token2, + [127691] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1505), 1, - anon_sym_COMMA, - ACTIONS(2564), 1, - anon_sym_RPAREN, - STATE(1384), 1, - aux_sym_group_by_clause_body_repeat1, - [71011] = 4, + ACTIONS(4939), 1, + anon_sym_RBRACK, + [127698] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1505), 1, - anon_sym_COMMA, - ACTIONS(2566), 1, - anon_sym_RPAREN, - STATE(1384), 1, - aux_sym_group_by_clause_body_repeat1, - [71024] = 4, + ACTIONS(4941), 1, + aux_sym_alter_table_rename_column_token2, + [127705] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(4943), 1, + aux_sym_string_token1, + [127712] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(4945), 1, + aux_sym_string_token2, + [127719] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1505), 1, - anon_sym_COMMA, - ACTIONS(2568), 1, + ACTIONS(4947), 1, anon_sym_RPAREN, - STATE(1384), 1, - aux_sym_group_by_clause_body_repeat1, - [71037] = 3, + [127726] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2409), 1, - anon_sym_EQ, - ACTIONS(2411), 2, - anon_sym_COMMA, - anon_sym_RPAREN, - [71048] = 3, + ACTIONS(4949), 1, + aux_sym_alter_table_rename_column_token2, + [127733] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2415), 1, - anon_sym_EQ, - ACTIONS(2417), 2, - anon_sym_COMMA, - anon_sym_RPAREN, - [71059] = 4, + ACTIONS(4951), 1, + aux_sym_sequence_token4, + [127740] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1505), 1, - anon_sym_COMMA, - ACTIONS(2570), 1, - anon_sym_RPAREN, - STATE(1384), 1, - aux_sym_group_by_clause_body_repeat1, - [71072] = 4, + ACTIONS(4953), 1, + aux_sym_sequence_token4, + [127747] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(798), 1, - anon_sym_SQUOTE, - ACTIONS(800), 1, - anon_sym_DOLLAR_DOLLAR, - STATE(527), 1, - sym_string, - [71085] = 4, + ACTIONS(4955), 1, + aux_sym_alter_table_rename_column_token2, + [127754] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1505), 1, - anon_sym_COMMA, - ACTIONS(2572), 1, - anon_sym_RPAREN, - STATE(1384), 1, - aux_sym_group_by_clause_body_repeat1, - [71098] = 4, + ACTIONS(4957), 1, + aux_sym_at_time_zone_expression_token2, + [127761] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1505), 1, - anon_sym_COMMA, - ACTIONS(2574), 1, - anon_sym_RPAREN, - STATE(1384), 1, - aux_sym_group_by_clause_body_repeat1, - [71111] = 4, + ACTIONS(4959), 1, + aux_sym_at_time_zone_expression_token3, + [127768] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2576), 1, - anon_sym_COMMA, - ACTIONS(2579), 1, - anon_sym_RPAREN, - STATE(1400), 1, - aux_sym_parameters_repeat1, - [71124] = 4, + ACTIONS(4961), 1, + aux_sym_create_function_parameter_token1, + [127775] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1505), 1, - anon_sym_COMMA, - ACTIONS(1976), 1, - anon_sym_RPAREN, - STATE(1384), 1, - aux_sym_group_by_clause_body_repeat1, - [71137] = 4, + ACTIONS(4963), 1, + aux_sym_at_time_zone_expression_token2, + [127782] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1142), 1, - anon_sym_COMMA, - ACTIONS(2581), 1, - anon_sym_RPAREN, - STATE(1414), 1, - aux_sym_index_table_parameters_repeat1, - [71150] = 4, + ACTIONS(4965), 1, + aux_sym_at_time_zone_expression_token3, + [127789] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1142), 1, - anon_sym_COMMA, - ACTIONS(2581), 1, - anon_sym_RPAREN, - STATE(1457), 1, - aux_sym_index_table_parameters_repeat1, - [71163] = 4, + ACTIONS(4967), 1, + aux_sym_null_hint_token2, + [127796] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2541), 1, - anon_sym_COMMA, - ACTIONS(2583), 1, - anon_sym_RPAREN, - STATE(1442), 1, - aux_sym_create_index_include_clause_repeat1, - [71176] = 4, + ACTIONS(4969), 1, + aux_sym_at_time_zone_expression_token2, + [127803] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2535), 1, - anon_sym_COMMA, - ACTIONS(2585), 1, + ACTIONS(4971), 1, anon_sym_RPAREN, - STATE(1373), 1, - aux_sym_table_constraint_exclude_repeat1, - [71189] = 4, + [127810] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(588), 1, - anon_sym_SQUOTE, - ACTIONS(590), 1, - anon_sym_DOLLAR_DOLLAR, - STATE(654), 1, - sym_string, - [71202] = 4, + ACTIONS(4973), 1, + anon_sym_EQ, + [127817] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2547), 1, - anon_sym_COMMA, - ACTIONS(2587), 1, + ACTIONS(4975), 1, anon_sym_RPAREN, - STATE(1379), 1, - aux_sym_table_constraint_unique_repeat1, - [71215] = 4, + [127824] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1505), 1, - anon_sym_COMMA, - ACTIONS(2589), 1, - anon_sym_RPAREN, - STATE(1384), 1, - aux_sym_group_by_clause_body_repeat1, - [71228] = 4, + ACTIONS(4977), 1, + anon_sym_LPAREN, + [127831] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(862), 1, - anon_sym_SQUOTE, - ACTIONS(864), 1, - anon_sym_DOLLAR_DOLLAR, - STATE(723), 1, - sym_string, - [71241] = 4, + ACTIONS(4979), 1, + aux_sym_null_hint_token2, + [127838] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2515), 1, - anon_sym_COMMA, - ACTIONS(2591), 1, - anon_sym_RPAREN, - STATE(1400), 1, - aux_sym_parameters_repeat1, - [71254] = 4, + ACTIONS(4981), 1, + anon_sym_LPAREN, + [127845] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(159), 1, - anon_sym_SQUOTE, - ACTIONS(161), 1, - anon_sym_DOLLAR_DOLLAR, - STATE(597), 1, - sym_string, - [71267] = 4, + ACTIONS(4983), 1, + aux_sym_at_time_zone_expression_token2, + [127852] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(734), 1, - anon_sym_SQUOTE, - ACTIONS(736), 1, - anon_sym_DOLLAR_DOLLAR, - STATE(955), 1, - sym_string, - [71280] = 4, + ACTIONS(4985), 1, + aux_sym_at_time_zone_expression_token3, + [127859] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2593), 1, - anon_sym_COMMA, - ACTIONS(2596), 1, - anon_sym_RPAREN, - STATE(1413), 1, - aux_sym_create_function_parameters_repeat1, - [71293] = 4, + ACTIONS(4987), 1, + aux_sym_at_time_zone_expression_token2, + [127866] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1142), 1, - anon_sym_COMMA, - ACTIONS(2598), 1, - anon_sym_RPAREN, - STATE(1457), 1, - aux_sym_index_table_parameters_repeat1, - [71306] = 4, + ACTIONS(4989), 1, + aux_sym_mode_token1, + [127873] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2600), 1, - anon_sym_COMMA, - ACTIONS(2603), 1, - anon_sym_RPAREN, - STATE(1415), 1, - aux_sym_table_constraint_exclude_repeat1, - [71319] = 4, + ACTIONS(4991), 1, + aux_sym_at_time_zone_expression_token3, + [127880] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(894), 1, - anon_sym_SQUOTE, - ACTIONS(896), 1, - anon_sym_DOLLAR_DOLLAR, - STATE(201), 1, - sym_string, - [71332] = 4, + ACTIONS(4993), 1, + aux_sym_create_function_statement_token3, + [127887] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1891), 1, - anon_sym_RPAREN, - ACTIONS(1929), 1, - aux_sym_order_by_clause_token1, - STATE(1083), 1, - sym_order_by_clause, - [71345] = 4, + ACTIONS(4995), 1, + aux_sym_at_time_zone_expression_token2, + [127894] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2535), 1, - anon_sym_COMMA, - ACTIONS(2605), 1, - anon_sym_RPAREN, - STATE(1443), 1, - aux_sym_table_constraint_exclude_repeat1, - [71358] = 4, + ACTIONS(4997), 1, + aux_sym_at_time_zone_expression_token3, + [127901] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(53), 1, - anon_sym_SQUOTE, - ACTIONS(55), 1, - anon_sym_DOLLAR_DOLLAR, - STATE(129), 1, - sym_string, - [71371] = 3, + ACTIONS(4999), 1, + aux_sym_at_time_zone_expression_token2, + [127908] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2430), 1, - anon_sym_EQ, - ACTIONS(2432), 2, - anon_sym_COMMA, - anon_sym_RPAREN, - [71382] = 4, + ACTIONS(5001), 1, + aux_sym_at_time_zone_expression_token3, + [127915] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(734), 1, - anon_sym_SQUOTE, - ACTIONS(736), 1, - anon_sym_DOLLAR_DOLLAR, - STATE(470), 1, - sym_string, - [71395] = 4, + ACTIONS(5003), 1, + aux_sym_at_time_zone_expression_token2, + [127922] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(159), 1, - anon_sym_SQUOTE, - ACTIONS(161), 1, - anon_sym_DOLLAR_DOLLAR, - STATE(600), 1, - sym_string, - [71408] = 4, + ACTIONS(5005), 1, + aux_sym_from_clause_token1, + [127929] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1505), 1, - anon_sym_COMMA, - ACTIONS(2607), 1, - anon_sym_RPAREN, - STATE(1384), 1, - aux_sym_group_by_clause_body_repeat1, - [71421] = 4, + ACTIONS(5007), 1, + aux_sym_at_time_zone_expression_token2, + [127936] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(894), 1, - anon_sym_SQUOTE, - ACTIONS(896), 1, - anon_sym_DOLLAR_DOLLAR, - STATE(230), 1, - sym_string, - [71434] = 4, + ACTIONS(5009), 1, + aux_sym_number_token1, + [127943] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1929), 1, - aux_sym_order_by_clause_token1, - ACTIONS(1960), 1, - anon_sym_RPAREN, - STATE(1087), 1, - sym_order_by_clause, - [71447] = 4, + ACTIONS(5011), 1, + aux_sym_at_time_zone_expression_token3, + [127950] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(798), 1, - anon_sym_SQUOTE, - ACTIONS(800), 1, - anon_sym_DOLLAR_DOLLAR, - STATE(505), 1, - sym_string, - [71460] = 4, + ACTIONS(5013), 1, + aux_sym_alter_table_action_alter_column_token2, + [127957] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2609), 1, - anon_sym_COMMA, - ACTIONS(2611), 1, - anon_sym_RPAREN, - STATE(1435), 1, - aux_sym_table_parameters_repeat1, - [71473] = 4, + ACTIONS(2842), 1, + anon_sym_COLON_COLON, + [127964] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2024), 1, - aux_sym_sequence_token1, - ACTIONS(2613), 1, - aux_sym_alter_table_token1, - STATE(1149), 1, - sym_sequence, - [71486] = 4, + ACTIONS(5015), 1, + aux_sym_at_time_zone_expression_token2, + [127971] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1505), 1, - anon_sym_COMMA, - ACTIONS(2615), 1, - anon_sym_RPAREN, - STATE(1384), 1, - aux_sym_group_by_clause_body_repeat1, - [71499] = 4, + ACTIONS(5017), 1, + aux_sym_at_time_zone_expression_token2, + [127978] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2541), 1, - anon_sym_COMMA, - ACTIONS(2617), 1, - anon_sym_RPAREN, - STATE(1442), 1, - aux_sym_create_index_include_clause_repeat1, - [71512] = 4, + ACTIONS(5019), 1, + aux_sym_at_time_zone_expression_token2, + [127985] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1505), 1, - anon_sym_COMMA, - ACTIONS(2619), 1, - anon_sym_RPAREN, - STATE(1384), 1, - aux_sym_group_by_clause_body_repeat1, - [71525] = 4, + ACTIONS(5021), 1, + aux_sym_at_time_zone_expression_token2, + [127992] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(670), 1, - anon_sym_SQUOTE, - ACTIONS(672), 1, - anon_sym_DOLLAR_DOLLAR, - STATE(761), 1, - sym_string, - [71538] = 4, + ACTIONS(5023), 1, + aux_sym_at_time_zone_expression_token2, + [127999] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(734), 1, - anon_sym_SQUOTE, - ACTIONS(736), 1, - anon_sym_DOLLAR_DOLLAR, - STATE(478), 1, - sym_string, - [71551] = 4, + ACTIONS(5025), 1, + aux_sym_at_time_zone_expression_token2, + [128006] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2609), 1, - anon_sym_COMMA, - ACTIONS(2621), 1, - anon_sym_RPAREN, - STATE(1435), 1, - aux_sym_table_parameters_repeat1, - [71564] = 4, + ACTIONS(5027), 1, + aux_sym_alter_table_rename_column_token2, + [128013] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2623), 1, - anon_sym_COMMA, - ACTIONS(2626), 1, - anon_sym_RPAREN, - STATE(1435), 1, - aux_sym_table_parameters_repeat1, - [71577] = 4, + ACTIONS(5029), 1, + aux_sym_alter_table_rename_column_token2, + [128020] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2628), 1, - anon_sym_COMMA, - ACTIONS(2631), 1, - anon_sym_RPAREN, - STATE(1436), 1, - aux_sym_table_constraint_unique_repeat1, - [71590] = 4, + ACTIONS(5031), 1, + aux_sym_at_time_zone_expression_token3, + [128027] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2541), 1, - anon_sym_COMMA, - ACTIONS(2633), 1, + ACTIONS(5033), 1, anon_sym_RPAREN, - STATE(1382), 1, - aux_sym_create_index_include_clause_repeat1, - [71603] = 4, + [128034] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(702), 1, - anon_sym_SQUOTE, - ACTIONS(704), 1, - anon_sym_DOLLAR_DOLLAR, - STATE(83), 1, - sym_string, - [71616] = 4, + ACTIONS(5035), 1, + aux_sym_at_time_zone_expression_token2, + [128041] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1505), 1, - anon_sym_COMMA, - ACTIONS(2635), 1, - anon_sym_RPAREN, - STATE(1384), 1, - aux_sym_group_by_clause_body_repeat1, - [71629] = 4, + ACTIONS(5037), 1, + aux_sym_cte_token2, + [128048] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2547), 1, - anon_sym_COMMA, - ACTIONS(2637), 1, - anon_sym_RPAREN, - STATE(1436), 1, - aux_sym_table_constraint_unique_repeat1, - [71642] = 4, + ACTIONS(5039), 1, + aux_sym_at_time_zone_expression_token2, + [128055] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1505), 1, - anon_sym_COMMA, - ACTIONS(2639), 1, - anon_sym_RPAREN, - STATE(1384), 1, - aux_sym_group_by_clause_body_repeat1, - [71655] = 4, + ACTIONS(5041), 1, + aux_sym_at_time_zone_expression_token3, + [128062] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2641), 1, - anon_sym_COMMA, - ACTIONS(2644), 1, - anon_sym_RPAREN, - STATE(1442), 1, - aux_sym_create_index_include_clause_repeat1, - [71668] = 4, + ACTIONS(5043), 1, + anon_sym_LPAREN, + [128069] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(5045), 1, + aux_sym__quoted_identifier_token1, + [128076] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(5047), 1, + aux_sym__quoted_identifier_token2, + [128083] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2535), 1, - anon_sym_COMMA, - ACTIONS(2646), 1, + ACTIONS(5049), 1, anon_sym_RPAREN, - STATE(1415), 1, - aux_sym_table_constraint_exclude_repeat1, - [71681] = 4, + [128090] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1597), 1, + ACTIONS(5051), 1, anon_sym_RPAREN, - ACTIONS(1929), 1, - aux_sym_order_by_clause_token1, - STATE(1081), 1, - sym_order_by_clause, - [71694] = 4, + [128097] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(5053), 1, + aux_sym_string_token1, + [128104] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(5055), 1, + aux_sym_string_token2, + [128111] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1505), 1, - anon_sym_COMMA, - ACTIONS(2648), 1, - anon_sym_RPAREN, - STATE(1384), 1, - aux_sym_group_by_clause_body_repeat1, - [71707] = 4, + ACTIONS(5057), 1, + anon_sym_LPAREN, + [128118] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(5059), 1, + aux_sym_string_token2, + [128125] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1505), 1, - anon_sym_COMMA, - ACTIONS(2650), 1, - anon_sym_RPAREN, - STATE(1384), 1, - aux_sym_group_by_clause_body_repeat1, - [71720] = 4, + ACTIONS(5061), 1, + aux_sym_create_function_parameter_token1, + [128132] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1505), 1, - anon_sym_COMMA, - ACTIONS(2652), 1, - anon_sym_RPAREN, - STATE(1384), 1, - aux_sym_group_by_clause_body_repeat1, - [71733] = 4, + ACTIONS(5063), 1, + aux_sym_at_time_zone_expression_token3, + [128139] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(702), 1, - anon_sym_SQUOTE, - ACTIONS(704), 1, - anon_sym_DOLLAR_DOLLAR, - STATE(126), 1, - sym_string, - [71746] = 4, + ACTIONS(5065), 1, + aux_sym_number_token1, + [128146] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(5067), 1, + aux_sym_string_token1, + [128153] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2609), 1, - anon_sym_COMMA, - ACTIONS(2654), 1, - anon_sym_RPAREN, - STATE(1427), 1, - aux_sym_table_parameters_repeat1, - [71759] = 4, + ACTIONS(5069), 1, + anon_sym_BQUOTE, + [128160] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(553), 1, - anon_sym_SQUOTE, - ACTIONS(555), 1, - anon_sym_DOLLAR_DOLLAR, - STATE(164), 1, - sym_string, - [71772] = 4, + ACTIONS(5069), 1, + anon_sym_DQUOTE, + [128167] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1505), 1, - anon_sym_COMMA, - ACTIONS(2656), 1, - anon_sym_RPAREN, - STATE(1384), 1, - aux_sym_group_by_clause_body_repeat1, - [71785] = 4, + ACTIONS(5071), 1, + aux_sym_at_time_zone_expression_token2, + [128174] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(862), 1, - anon_sym_SQUOTE, - ACTIONS(864), 1, + ACTIONS(5073), 1, anon_sym_DOLLAR_DOLLAR, - STATE(697), 1, - sym_string, - [71798] = 4, + [128181] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1505), 1, - anon_sym_COMMA, - ACTIONS(2658), 1, - anon_sym_RPAREN, - STATE(1384), 1, - aux_sym_group_by_clause_body_repeat1, - [71811] = 4, + ACTIONS(5075), 1, + aux_sym_at_time_zone_expression_token2, + [128188] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(830), 1, + ACTIONS(5077), 1, + aux_sym_at_time_zone_expression_token2, + [128195] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(5073), 1, anon_sym_SQUOTE, - ACTIONS(832), 1, - anon_sym_DOLLAR_DOLLAR, - STATE(821), 1, - sym_string, - [71824] = 4, + [128202] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2609), 1, - anon_sym_COMMA, - ACTIONS(2660), 1, - anon_sym_RPAREN, - STATE(1434), 1, - aux_sym_table_parameters_repeat1, - [71837] = 4, + ACTIONS(5079), 1, + aux_sym_at_time_zone_expression_token2, + [128209] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1505), 1, - anon_sym_COMMA, - ACTIONS(2662), 1, - anon_sym_RPAREN, - STATE(1384), 1, - aux_sym_group_by_clause_body_repeat1, - [71850] = 4, + ACTIONS(5081), 1, + aux_sym_at_time_zone_expression_token2, + [128216] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1204), 1, + ACTIONS(5083), 1, anon_sym_RPAREN, - ACTIONS(2664), 1, - anon_sym_COMMA, - STATE(1457), 1, - aux_sym_index_table_parameters_repeat1, - [71863] = 4, + [128223] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1505), 1, - anon_sym_COMMA, - ACTIONS(2667), 1, - anon_sym_RPAREN, - STATE(1384), 1, - aux_sym_group_by_clause_body_repeat1, - [71876] = 4, + ACTIONS(5085), 1, + aux_sym_at_time_zone_expression_token2, + [128230] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1505), 1, - anon_sym_COMMA, - ACTIONS(2669), 1, - anon_sym_RPAREN, - STATE(1384), 1, - aux_sym_group_by_clause_body_repeat1, - [71889] = 4, + ACTIONS(5087), 1, + aux_sym_at_time_zone_expression_token2, + [128237] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2541), 1, - anon_sym_COMMA, - ACTIONS(2671), 1, - anon_sym_RPAREN, - STATE(1404), 1, - aux_sym_create_index_include_clause_repeat1, - [71902] = 4, + ACTIONS(5089), 1, + aux_sym_at_time_zone_expression_token2, + [128244] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(553), 1, - anon_sym_SQUOTE, - ACTIONS(555), 1, - anon_sym_DOLLAR_DOLLAR, - STATE(161), 1, - sym_string, - [71915] = 3, + ACTIONS(5091), 1, + aux_sym_at_time_zone_expression_token2, + [128251] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2673), 1, - anon_sym_LPAREN, - STATE(160), 1, - sym_tuple, - [71925] = 3, + ACTIONS(5093), 1, + aux_sym_at_time_zone_expression_token2, + [128258] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2675), 1, - aux_sym_number_token1, - STATE(1579), 1, - sym_number, - [71935] = 3, + ACTIONS(5095), 1, + aux_sym_at_time_zone_expression_token2, + [128265] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2677), 1, - anon_sym_LPAREN, - STATE(773), 1, - sym_tuple, - [71945] = 3, + ACTIONS(5097), 1, + aux_sym_at_time_zone_expression_token2, + [128272] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2673), 1, - anon_sym_LPAREN, - STATE(150), 1, - sym_tuple, - [71955] = 3, + ACTIONS(5099), 1, + aux_sym_table_constraint_foreign_key_token2, + [128279] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(5101), 1, + anon_sym_SQUOTE, + [128286] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(5103), 1, + aux_sym__quoted_identifier_token1, + [128293] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(5105), 1, + aux_sym__quoted_identifier_token2, + [128300] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2679), 1, + ACTIONS(5107), 1, anon_sym_LPAREN, - STATE(1139), 1, - sym_table_parameters, - [71965] = 2, + [128307] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2474), 2, - anon_sym_COMMA, + ACTIONS(5109), 1, anon_sym_RPAREN, - [71973] = 3, + [128314] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(5111), 1, + aux_sym_string_token1, + [128321] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(5113), 1, + aux_sym_string_token2, + [128328] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2681), 1, - anon_sym_LPAREN, - ACTIONS(2683), 1, - aux_sym_table_constraint_exclude_token2, - [71983] = 3, + ACTIONS(5115), 1, + aux_sym_create_function_statement_token3, + [128335] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2685), 1, - anon_sym_LPAREN, - STATE(1712), 1, - sym_create_function_parameters, - [71993] = 3, + ACTIONS(5117), 1, + aux_sym_null_hint_token3, + [128342] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2677), 1, - anon_sym_LPAREN, - STATE(762), 1, - sym_tuple, - [72003] = 2, + ACTIONS(5119), 1, + aux_sym_create_function_parameter_token1, + [128349] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2687), 2, - anon_sym_EQ, - aux_sym_set_statement_token3, - [72011] = 2, + ACTIONS(5121), 1, + aux_sym_at_time_zone_expression_token2, + [128356] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2689), 2, - anon_sym_COMMA, - anon_sym_RPAREN, - [72019] = 3, + ACTIONS(5123), 1, + aux_sym_null_hint_token2, + [128363] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2691), 1, - anon_sym_LPAREN, - STATE(825), 1, - sym_tuple, - [72029] = 2, + ACTIONS(5125), 1, + anon_sym_DQUOTE, + [128370] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2693), 2, - anon_sym_EQ, - aux_sym_set_statement_token3, - [72037] = 3, + ACTIONS(5125), 1, + anon_sym_BQUOTE, + [128377] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1099), 1, - aux_sym_null_hint_token3, - STATE(831), 1, - sym_NULL, - [72047] = 3, + ACTIONS(5127), 1, + anon_sym_LPAREN, + [128384] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2675), 1, - aux_sym_number_token1, - STATE(1587), 1, - sym_number, - [72057] = 3, + ACTIONS(5129), 1, + aux_sym_at_time_zone_expression_token2, + [128391] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2675), 1, + ACTIONS(5131), 1, aux_sym_number_token1, - STATE(1610), 1, - sym_number, - [72067] = 3, + [128398] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2675), 1, - aux_sym_number_token1, - STATE(1564), 1, - sym_number, - [72077] = 3, + ACTIONS(5133), 1, + aux_sym_at_time_zone_expression_token2, + [128405] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2695), 1, - aux_sym_grant_statement_token6, - ACTIONS(2697), 1, - aux_sym_grant_statement_token7, - [72087] = 3, + ACTIONS(5135), 1, + aux_sym_at_time_zone_expression_token2, + [128412] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2675), 1, - aux_sym_number_token1, - STATE(1624), 1, - sym_number, - [72097] = 3, + ACTIONS(5101), 1, + anon_sym_DOLLAR_DOLLAR, + [128419] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2699), 1, - anon_sym_LPAREN, - STATE(232), 1, - sym_tuple, - [72107] = 3, + ACTIONS(5137), 1, + aux_sym_at_time_zone_expression_token2, + [128426] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2691), 1, - anon_sym_LPAREN, - STATE(835), 1, - sym_tuple, - [72117] = 2, + ACTIONS(5139), 1, + aux_sym_at_time_zone_expression_token2, + [128433] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2644), 2, - anon_sym_COMMA, - anon_sym_RPAREN, - [72125] = 3, + ACTIONS(5141), 1, + anon_sym_BQUOTE, + [128440] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2701), 1, - aux_sym_grant_statement_token9, - STATE(1000), 1, - sym_references_constraint, - [72135] = 3, + ACTIONS(5143), 1, + aux_sym_at_time_zone_expression_token2, + [128447] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2675), 1, - aux_sym_number_token1, - STATE(1710), 1, - sym_number, - [72145] = 3, + ACTIONS(5145), 1, + aux_sym_at_time_zone_expression_token2, + [128454] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2531), 1, - aux_sym_number_token1, - STATE(1014), 1, - sym_number, - [72155] = 3, + ACTIONS(5147), 1, + aux_sym_at_time_zone_expression_token2, + [128461] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2703), 1, - anon_sym_LPAREN, - STATE(85), 1, - sym_tuple, - [72165] = 3, + ACTIONS(5149), 1, + aux_sym_at_time_zone_expression_token2, + [128468] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2705), 1, - anon_sym_LPAREN, - STATE(490), 1, - sym_tuple, - [72175] = 3, + ACTIONS(5151), 1, + aux_sym_at_time_zone_expression_token2, + [128475] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2705), 1, - anon_sym_LPAREN, - STATE(479), 1, - sym_tuple, - [72185] = 3, + ACTIONS(5153), 1, + aux_sym_at_time_zone_expression_token2, + [128482] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2703), 1, - anon_sym_LPAREN, - STATE(96), 1, - sym_tuple, - [72195] = 2, + ACTIONS(5155), 1, + aux_sym_at_time_zone_expression_token2, + [128489] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(5157), 1, + aux_sym__quoted_identifier_token1, + [128496] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(5159), 1, + aux_sym__quoted_identifier_token2, + [128503] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2631), 2, - anon_sym_COMMA, - anon_sym_RPAREN, - [72203] = 3, + ACTIONS(3367), 1, + anon_sym_COLON_COLON, + [128510] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(5161), 1, + anon_sym_RBRACK, + [128517] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(5163), 1, + aux_sym_string_token1, + [128524] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(5165), 1, + aux_sym_string_token2, + [128531] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2699), 1, + ACTIONS(5167), 1, anon_sym_LPAREN, - STATE(191), 1, - sym_tuple, - [72213] = 3, + [128538] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2024), 1, - aux_sym_sequence_token1, - STATE(1149), 1, - sym_sequence, - [72223] = 3, + ACTIONS(5169), 1, + aux_sym_at_time_zone_expression_token3, + [128545] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2701), 1, - aux_sym_grant_statement_token9, - STATE(1005), 1, - sym_references_constraint, - [72233] = 3, + ACTIONS(5171), 1, + aux_sym_create_function_parameter_token1, + [128552] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2685), 1, - anon_sym_LPAREN, - STATE(1756), 1, - sym_create_function_parameters, - [72243] = 3, + ACTIONS(5173), 1, + aux_sym_at_time_zone_expression_token2, + [128559] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2707), 1, - aux_sym_alter_table_token3, - ACTIONS(2709), 1, - aux_sym_sequence_token2, - [72253] = 2, + ACTIONS(5175), 1, + aux_sym_at_time_zone_expression_token3, + [128566] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2711), 2, - anon_sym_COMMA, - anon_sym_RPAREN, - [72261] = 3, + ACTIONS(5177), 1, + aux_sym_alter_table_action_alter_column_token3, + [128573] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2679), 1, - anon_sym_LPAREN, - STATE(1103), 1, - sym_table_parameters, - [72271] = 3, + ACTIONS(5179), 1, + aux_sym_at_time_zone_expression_token2, + [128580] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2675), 1, - aux_sym_number_token1, - STATE(1685), 1, - sym_number, - [72281] = 3, + ACTIONS(5181), 1, + aux_sym_at_time_zone_expression_token3, + [128587] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1419), 1, - aux_sym_null_hint_token3, - STATE(831), 1, - sym_NULL, - [72291] = 3, + ACTIONS(5183), 1, + aux_sym_at_time_zone_expression_token2, + [128594] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1419), 1, - aux_sym_null_hint_token3, - STATE(952), 1, - sym_NULL, - [72301] = 2, + ACTIONS(5185), 1, + aux_sym_at_time_zone_expression_token2, + [128601] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2713), 2, - anon_sym_COMMA, - anon_sym_RPAREN, - [72309] = 2, + ACTIONS(5187), 1, + aux_sym_at_time_zone_expression_token3, + [128608] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2715), 2, - aux_sym_initial_mode_token2, - aux_sym_initial_mode_token3, - [72317] = 2, + ACTIONS(5189), 1, + aux_sym_at_time_zone_expression_token2, + [128615] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2717), 2, - anon_sym_COMMA, - anon_sym_RPAREN, - [72325] = 2, + ACTIONS(5191), 1, + aux_sym_at_time_zone_expression_token2, + [128622] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2596), 2, - anon_sym_COMMA, - anon_sym_RPAREN, - [72333] = 2, + ACTIONS(5193), 1, + aux_sym_at_time_zone_expression_token3, + [128629] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2719), 2, - anon_sym_COMMA, - anon_sym_RPAREN, - [72341] = 2, + ACTIONS(5195), 1, + aux_sym_at_time_zone_expression_token2, + [128636] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2603), 2, - anon_sym_COMMA, - anon_sym_RPAREN, - [72349] = 2, + ACTIONS(5197), 1, + aux_sym_at_time_zone_expression_token2, + [128643] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2721), 2, - anon_sym_COMMA, - anon_sym_RPAREN, - [72357] = 3, + ACTIONS(5199), 1, + aux_sym_at_time_zone_expression_token2, + [128650] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(1605), 1, - aux_sym_null_hint_token3, - STATE(831), 1, - sym_NULL, - [72367] = 3, + ACTIONS(5201), 1, + aux_sym_at_time_zone_expression_token2, + [128657] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2723), 1, - anon_sym_LPAREN, - STATE(596), 1, - sym_tuple, - [72377] = 3, + ACTIONS(5203), 1, + aux_sym_at_time_zone_expression_token2, + [128664] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2679), 1, - anon_sym_LPAREN, - STATE(1109), 1, - sym_table_parameters, - [72387] = 3, + ACTIONS(5205), 1, + aux_sym_at_time_zone_expression_token2, + [128671] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2725), 1, - anon_sym_LPAREN, - STATE(127), 1, - sym_tuple, - [72397] = 3, + ACTIONS(5207), 1, + aux_sym_at_time_zone_expression_token2, + [128678] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(5209), 1, + aux_sym__quoted_identifier_token1, + [128685] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(5211), 1, + aux_sym__quoted_identifier_token2, + [128692] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2723), 1, - anon_sym_LPAREN, - STATE(586), 1, - sym_tuple, - [72407] = 2, + ACTIONS(5213), 1, + aux_sym_grant_statement_token14, + [128699] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2579), 2, - anon_sym_COMMA, + ACTIONS(5215), 1, + aux_sym_at_time_zone_expression_token3, + [128706] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(5217), 1, + aux_sym_string_token1, + [128713] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(5219), 1, + aux_sym_string_token2, + [128720] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(5221), 1, + aux_sym_at_time_zone_expression_token3, + [128727] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(5223), 1, anon_sym_RPAREN, - [72415] = 3, + [128734] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2727), 1, - anon_sym_LPAREN, - STATE(721), 1, - sym_tuple, - [72425] = 3, + ACTIONS(5225), 1, + aux_sym_create_function_parameter_token1, + [128741] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2729), 1, - anon_sym_LPAREN, - STATE(528), 1, - sym_tuple, - [72435] = 3, + ACTIONS(5227), 1, + aux_sym_at_time_zone_expression_token2, + [128748] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2675), 1, - aux_sym_number_token1, - STATE(1608), 1, - sym_number, - [72445] = 3, + ACTIONS(5229), 1, + aux_sym_at_time_zone_expression_token2, + [128755] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2731), 1, - anon_sym_LPAREN, - STATE(574), 1, - sym_tuple, - [72455] = 3, + ACTIONS(5231), 1, + aux_sym_create_index_statement_token1, + [128762] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2733), 1, - aux_sym_join_type_token5, - ACTIONS(2735), 1, - aux_sym_join_clause_token1, - [72465] = 3, + ACTIONS(5233), 1, + aux_sym_at_time_zone_expression_token2, + [128769] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2725), 1, - anon_sym_LPAREN, - STATE(78), 1, - sym_tuple, - [72475] = 3, + ACTIONS(5235), 1, + anon_sym_RPAREN, + [128776] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2496), 1, - anon_sym_LPAREN, - STATE(988), 1, - sym_index_table_parameters, - [72485] = 3, + ACTIONS(5237), 1, + aux_sym_at_time_zone_expression_token2, + [128783] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2737), 1, - anon_sym_LPAREN, - STATE(653), 1, - sym_tuple, - [72495] = 3, + ACTIONS(5239), 1, + aux_sym_at_time_zone_expression_token2, + [128790] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2675), 1, - aux_sym_number_token1, - STATE(1552), 1, - sym_number, - [72505] = 3, + ACTIONS(5241), 1, + aux_sym_at_time_zone_expression_token3, + [128797] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2675), 1, - aux_sym_number_token1, - STATE(1633), 1, - sym_number, - [72515] = 3, + ACTIONS(5243), 1, + aux_sym_at_time_zone_expression_token2, + [128804] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2737), 1, - anon_sym_LPAREN, - STATE(629), 1, - sym_tuple, - [72525] = 3, + ACTIONS(5245), 1, + aux_sym_at_time_zone_expression_token2, + [128811] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2739), 1, - aux_sym_alter_table_action_alter_column_token2, - STATE(1058), 1, - sym_set_clause, - [72535] = 3, + ACTIONS(5247), 1, + aux_sym_at_time_zone_expression_token3, + [128818] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2675), 1, - aux_sym_number_token1, - STATE(1679), 1, - sym_number, - [72545] = 3, + ACTIONS(5249), 1, + aux_sym_at_time_zone_expression_token2, + [128825] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2731), 1, - anon_sym_LPAREN, - STATE(558), 1, - sym_tuple, - [72555] = 3, + ACTIONS(5251), 1, + aux_sym_at_time_zone_expression_token2, + [128832] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2727), 1, - anon_sym_LPAREN, - STATE(708), 1, - sym_tuple, - [72565] = 3, + ACTIONS(5253), 1, + aux_sym_at_time_zone_expression_token2, + [128839] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2741), 1, - anon_sym_LPAREN, - STATE(1100), 1, - sym_parameters, - [72575] = 3, + ACTIONS(5255), 1, + aux_sym_at_time_zone_expression_token2, + [128846] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2675), 1, - aux_sym_number_token1, - STATE(1595), 1, - sym_number, - [72585] = 3, + ACTIONS(5257), 1, + aux_sym_at_time_zone_expression_token2, + [128853] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2729), 1, - anon_sym_LPAREN, - STATE(540), 1, - sym_tuple, - [72595] = 3, + ACTIONS(5259), 1, + aux_sym_at_time_zone_expression_token2, + [128860] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2743), 1, - anon_sym_LPAREN, - STATE(855), 1, - sym_tuple, - [72605] = 3, + ACTIONS(5261), 1, + aux_sym_at_time_zone_expression_token2, + [128867] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(5263), 1, + aux_sym__quoted_identifier_token1, + [128874] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(5265), 1, + aux_sym__quoted_identifier_token2, + [128881] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2299), 1, - aux_sym_null_hint_token3, - STATE(831), 1, - sym_NULL, - [72615] = 3, + ACTIONS(5267), 1, + anon_sym_RPAREN, + [128888] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2679), 1, - anon_sym_LPAREN, - STATE(1121), 1, - sym_table_parameters, - [72625] = 3, + ACTIONS(5269), 1, + aux_sym_at_time_zone_expression_token3, + [128895] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(5271), 1, + aux_sym_string_token1, + [128902] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(5273), 1, + aux_sym_string_token2, + [128909] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2743), 1, - anon_sym_LPAREN, - STATE(846), 1, - sym_tuple, - [72635] = 3, + ACTIONS(5275), 1, + aux_sym_at_time_zone_expression_token2, + [128916] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(5277), 1, + aux_sym_at_time_zone_expression_token3, + [128923] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2675), 1, - aux_sym_number_token1, - STATE(1563), 1, - sym_number, - [72645] = 3, + ACTIONS(5279), 1, + aux_sym_create_function_parameter_token1, + [128930] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2675), 1, - aux_sym_number_token1, - STATE(1656), 1, - sym_number, - [72655] = 3, + ACTIONS(5281), 1, + aux_sym_at_time_zone_expression_token2, + [128937] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2227), 1, - aux_sym_null_hint_token2, - ACTIONS(2745), 1, - aux_sym_grant_statement_token3, - [72665] = 2, + ACTIONS(5283), 1, + anon_sym_RPAREN, + [128944] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2747), 2, - aux_sym_sequence_token9, - aux_sym_sequence_token10, - [72673] = 3, + ACTIONS(5285), 1, + aux_sym_null_hint_token2, + [128951] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2531), 1, - aux_sym_number_token1, - STATE(1007), 1, - sym_number, - [72683] = 3, + ACTIONS(5287), 1, + aux_sym_at_time_zone_expression_token2, + [128958] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2531), 1, - aux_sym_number_token1, - STATE(998), 1, - sym_number, - [72693] = 3, + ACTIONS(5289), 1, + anon_sym_RBRACK, + [128965] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2496), 1, - anon_sym_LPAREN, - STATE(982), 1, - sym_index_table_parameters, - [72703] = 2, + ACTIONS(5291), 1, + aux_sym_at_time_zone_expression_token2, + [128972] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2749), 1, - anon_sym_LPAREN, - [72710] = 2, + ACTIONS(5293), 1, + aux_sym_at_time_zone_expression_token2, + [128979] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2751), 1, - aux_sym_number_token1, - [72717] = 2, + ACTIONS(5295), 1, + aux_sym_at_time_zone_expression_token3, + [128986] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2753), 1, - anon_sym_BQUOTE, - [72724] = 2, + ACTIONS(5297), 1, + aux_sym_at_time_zone_expression_token2, + [128993] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2753), 1, - anon_sym_DQUOTE, - [72731] = 2, + ACTIONS(5299), 1, + aux_sym_at_time_zone_expression_token2, + [129000] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2755), 1, + ACTIONS(5301), 1, anon_sym_RPAREN, - [72738] = 2, + [129007] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2757), 1, - anon_sym_SQUOTE, - [72745] = 2, + ACTIONS(5303), 1, + aux_sym_at_time_zone_expression_token2, + [129014] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2759), 1, - anon_sym_SQUOTE, - [72752] = 2, + ACTIONS(5305), 1, + aux_sym_at_time_zone_expression_token2, + [129021] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2759), 1, - anon_sym_DOLLAR_DOLLAR, - [72759] = 2, + ACTIONS(5307), 1, + aux_sym_at_time_zone_expression_token2, + [129028] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2761), 1, - anon_sym_RPAREN, - [72766] = 2, + ACTIONS(5309), 1, + aux_sym_at_time_zone_expression_token2, + [129035] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2763), 1, - anon_sym_RBRACK, - [72773] = 2, + ACTIONS(5311), 1, + aux_sym_at_time_zone_expression_token2, + [129042] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2765), 1, - aux_sym_from_clause_token1, - [72780] = 2, + ACTIONS(5313), 1, + aux_sym_at_time_zone_expression_token2, + [129049] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2767), 1, - anon_sym_DOLLAR_DOLLAR, - [72787] = 2, - ACTIONS(3), 1, + ACTIONS(5315), 1, + aux_sym_at_time_zone_expression_token2, + [129056] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(2767), 1, - anon_sym_SQUOTE, - [72794] = 2, - ACTIONS(3), 1, + ACTIONS(5317), 1, + aux_sym__quoted_identifier_token1, + [129063] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(2769), 1, - anon_sym_RPAREN, - [72801] = 2, + ACTIONS(5319), 1, + aux_sym__quoted_identifier_token2, + [129070] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2771), 1, - anon_sym_DQUOTE, - [72808] = 2, + ACTIONS(5321), 1, + aux_sym_null_hint_token3, + [129077] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2771), 1, - anon_sym_BQUOTE, - [72815] = 2, + ACTIONS(5323), 1, + aux_sym_at_time_zone_expression_token3, + [129084] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(5325), 1, + aux_sym_string_token1, + [129091] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(5327), 1, + aux_sym_string_token2, + [129098] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2773), 1, + ACTIONS(5329), 1, aux_sym_from_clause_token1, - [72822] = 2, + [129105] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2775), 1, - anon_sym_RBRACK, - [72829] = 2, + ACTIONS(5331), 1, + aux_sym_grant_statement_token14, + [129112] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2777), 1, - aux_sym_number_token1, - [72836] = 2, + ACTIONS(5333), 1, + aux_sym_create_function_parameter_token1, + [129119] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2779), 1, - anon_sym_RPAREN, - [72843] = 2, + ACTIONS(5335), 1, + aux_sym_at_time_zone_expression_token2, + [129126] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2781), 1, - anon_sym_RPAREN, - [72850] = 2, + ACTIONS(5337), 1, + aux_sym_grant_statement_token14, + [129133] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2783), 1, - anon_sym_RBRACK, - [72857] = 2, + ACTIONS(5339), 1, + aux_sym_at_time_zone_expression_token2, + [129140] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2785), 1, - aux_sym_from_clause_token1, - [72864] = 2, + ACTIONS(5341), 1, + aux_sym_at_time_zone_expression_token2, + [129147] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2787), 1, - anon_sym_DOLLAR_DOLLAR, - [72871] = 2, + ACTIONS(5343), 1, + aux_sym_at_time_zone_expression_token3, + [129154] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2789), 1, - aux_sym_number_token1, - [72878] = 2, + ACTIONS(5345), 1, + aux_sym_at_time_zone_expression_token2, + [129161] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2791), 1, - anon_sym_BQUOTE, - [72885] = 2, + ACTIONS(5347), 1, + aux_sym_at_time_zone_expression_token2, + [129168] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2791), 1, - anon_sym_DQUOTE, - [72892] = 2, + ACTIONS(5349), 1, + aux_sym_at_time_zone_expression_token3, + [129175] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2793), 1, - anon_sym_RPAREN, - [72899] = 2, + ACTIONS(5351), 1, + aux_sym_at_time_zone_expression_token2, + [129182] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2787), 1, - anon_sym_SQUOTE, - [72906] = 2, + ACTIONS(5353), 1, + aux_sym_at_time_zone_expression_token2, + [129189] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2795), 1, - anon_sym_SQUOTE, - [72913] = 2, + ACTIONS(5355), 1, + aux_sym_at_time_zone_expression_token3, + [129196] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2795), 1, - anon_sym_DOLLAR_DOLLAR, - [72920] = 2, + ACTIONS(5357), 1, + aux_sym_at_time_zone_expression_token2, + [129203] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2797), 1, - anon_sym_RPAREN, - [72927] = 2, + ACTIONS(5359), 1, + aux_sym_at_time_zone_expression_token2, + [129210] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2799), 1, - anon_sym_DQUOTE, - [72934] = 2, + ACTIONS(5361), 1, + aux_sym_at_time_zone_expression_token2, + [129217] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2799), 1, - anon_sym_BQUOTE, - [72941] = 2, + ACTIONS(5363), 1, + aux_sym_at_time_zone_expression_token2, + [129224] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2801), 1, - aux_sym_number_token1, - [72948] = 2, + ACTIONS(5365), 1, + aux_sym_at_time_zone_expression_token2, + [129231] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2803), 1, - anon_sym_RPAREN, - [72955] = 2, + ACTIONS(5367), 1, + aux_sym_at_time_zone_expression_token2, + [129238] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2805), 1, - anon_sym_RBRACK, - [72962] = 2, - ACTIONS(3), 1, + ACTIONS(5369), 1, + aux_sym_at_time_zone_expression_token2, + [129245] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(2807), 1, - aux_sym_from_clause_token1, - [72969] = 2, + ACTIONS(5371), 1, + aux_sym__quoted_identifier_token1, + [129252] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(5373), 1, + aux_sym__quoted_identifier_token2, + [129259] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2757), 1, - anon_sym_DOLLAR_DOLLAR, - [72976] = 2, + ACTIONS(5375), 1, + aux_sym_at_time_zone_expression_token2, + [129266] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2809), 1, + ACTIONS(5377), 1, aux_sym_from_clause_token1, - [72983] = 2, - ACTIONS(3), 1, + [129273] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(2811), 1, - anon_sym_RBRACK, - [72990] = 2, + ACTIONS(5379), 1, + aux_sym_string_token1, + [129280] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(5381), 1, + aux_sym_string_token2, + [129287] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2813), 1, - aux_sym_number_token1, - [72997] = 2, + ACTIONS(5383), 1, + aux_sym_at_time_zone_expression_token3, + [129294] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2815), 1, - anon_sym_RPAREN, - [73004] = 2, + ACTIONS(5385), 1, + aux_sym_create_function_statement_token2, + [129301] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2817), 1, - anon_sym_RPAREN, - [73011] = 2, + ACTIONS(5387), 1, + aux_sym_create_function_parameter_token1, + [129308] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2819), 1, - anon_sym_DQUOTE, - [73018] = 2, + ACTIONS(5389), 1, + aux_sym_at_time_zone_expression_token2, + [129315] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2819), 1, - anon_sym_BQUOTE, - [73025] = 2, + ACTIONS(5391), 1, + aux_sym_at_time_zone_expression_token3, + [129322] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2821), 1, - aux_sym_number_token1, - [73032] = 2, + ACTIONS(5393), 1, + anon_sym_RPAREN, + [129329] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2823), 1, - aux_sym_number_token1, - [73039] = 2, + ACTIONS(5395), 1, + aux_sym_at_time_zone_expression_token2, + [129336] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2825), 1, - anon_sym_BQUOTE, - [73046] = 2, + ACTIONS(5397), 1, + aux_sym_at_time_zone_expression_token3, + [129343] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2825), 1, - anon_sym_DQUOTE, - [73053] = 2, + ACTIONS(5399), 1, + aux_sym_at_time_zone_expression_token2, + [129350] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2827), 1, - anon_sym_RPAREN, - [73060] = 2, + ACTIONS(5401), 1, + aux_sym_at_time_zone_expression_token2, + [129357] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2829), 1, + ACTIONS(5403), 1, anon_sym_RPAREN, - [73067] = 2, + [129364] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2831), 1, - anon_sym_SQUOTE, - [73074] = 2, + ACTIONS(5405), 1, + aux_sym_at_time_zone_expression_token2, + [129371] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2831), 1, - anon_sym_DOLLAR_DOLLAR, - [73081] = 2, + ACTIONS(5407), 1, + aux_sym_at_time_zone_expression_token2, + [129378] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2833), 1, - anon_sym_RBRACK, - [73088] = 2, + ACTIONS(5409), 1, + aux_sym_at_time_zone_expression_token3, + [129385] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2835), 1, - aux_sym_from_clause_token1, - [73095] = 2, + ACTIONS(5411), 1, + aux_sym_at_time_zone_expression_token2, + [129392] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2837), 1, - anon_sym_DOLLAR_DOLLAR, - [73102] = 2, + ACTIONS(5413), 1, + aux_sym_at_time_zone_expression_token2, + [129399] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2837), 1, - anon_sym_SQUOTE, - [73109] = 2, + ACTIONS(5415), 1, + aux_sym_at_time_zone_expression_token2, + [129406] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2839), 1, - anon_sym_RPAREN, - [73116] = 2, + ACTIONS(5417), 1, + aux_sym_at_time_zone_expression_token2, + [129413] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2841), 1, - anon_sym_DQUOTE, - [73123] = 2, + ACTIONS(5419), 1, + aux_sym_at_time_zone_expression_token2, + [129420] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2841), 1, - anon_sym_BQUOTE, - [73130] = 2, + ACTIONS(5421), 1, + aux_sym_at_time_zone_expression_token2, + [129427] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2843), 1, - aux_sym_number_token1, - [73137] = 2, - ACTIONS(3), 1, + ACTIONS(5423), 1, + aux_sym_at_time_zone_expression_token2, + [129434] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(2845), 1, - aux_sym_from_clause_token1, - [73144] = 2, - ACTIONS(3), 1, + ACTIONS(5425), 1, + aux_sym__quoted_identifier_token1, + [129441] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(2847), 1, - anon_sym_RBRACK, - [73151] = 2, + ACTIONS(5427), 1, + aux_sym__quoted_identifier_token2, + [129448] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2849), 1, - anon_sym_RPAREN, - [73158] = 2, + ACTIONS(5141), 1, + anon_sym_DQUOTE, + [129455] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2851), 1, - anon_sym_RBRACK, - [73165] = 2, - ACTIONS(3), 1, + ACTIONS(5429), 1, + aux_sym_at_time_zone_expression_token3, + [129462] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(2853), 1, - anon_sym_RPAREN, - [73172] = 2, + ACTIONS(5431), 1, + aux_sym_string_token1, + [129469] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(5433), 1, + aux_sym_string_token2, + [129476] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2855), 1, - aux_sym_from_clause_token1, - [73179] = 2, + ACTIONS(5435), 1, + anon_sym_EQ, + [129483] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2857), 1, + ACTIONS(5437), 1, anon_sym_DOLLAR_DOLLAR, - [73186] = 2, + [129490] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2857), 1, - anon_sym_SQUOTE, - [73193] = 2, + ACTIONS(5439), 1, + aux_sym_create_function_parameter_token1, + [129497] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2859), 1, - aux_sym_number_token1, - [73200] = 2, + ACTIONS(5441), 1, + aux_sym_at_time_zone_expression_token2, + [129504] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2861), 1, - anon_sym_BQUOTE, - [73207] = 2, + ACTIONS(5437), 1, + anon_sym_SQUOTE, + [129511] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2861), 1, - anon_sym_DQUOTE, - [73214] = 2, + ACTIONS(5443), 1, + aux_sym_cte_token1, + [129518] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2863), 1, - anon_sym_RPAREN, - [73221] = 2, + ACTIONS(5445), 1, + aux_sym_at_time_zone_expression_token2, + [129525] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2865), 1, + ACTIONS(5447), 1, anon_sym_RPAREN, - [73228] = 2, + [129532] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2867), 1, - anon_sym_SQUOTE, - [73235] = 2, + ACTIONS(5449), 1, + aux_sym_at_time_zone_expression_token2, + [129539] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2867), 1, - anon_sym_DOLLAR_DOLLAR, - [73242] = 2, + ACTIONS(5451), 1, + aux_sym_at_time_zone_expression_token2, + [129546] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2869), 1, - anon_sym_DQUOTE, - [73249] = 2, + ACTIONS(5453), 1, + aux_sym_at_time_zone_expression_token3, + [129553] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2869), 1, - anon_sym_BQUOTE, - [73256] = 2, + ACTIONS(5455), 1, + aux_sym_at_time_zone_expression_token2, + [129560] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2871), 1, - aux_sym_number_token1, - [73263] = 2, + ACTIONS(5457), 1, + aux_sym_at_time_zone_expression_token2, + [129567] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2873), 1, + ACTIONS(5459), 1, anon_sym_RPAREN, - [73270] = 2, + [129574] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2875), 1, - anon_sym_RBRACK, - [73277] = 2, + ACTIONS(5461), 1, + aux_sym_at_time_zone_expression_token2, + [129581] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2877), 1, - aux_sym_from_clause_token1, - [73284] = 2, + ACTIONS(5463), 1, + aux_sym_at_time_zone_expression_token2, + [129588] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2879), 1, - anon_sym_DOLLAR_DOLLAR, - [73291] = 2, + ACTIONS(5465), 1, + aux_sym_at_time_zone_expression_token2, + [129595] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2879), 1, - anon_sym_SQUOTE, - [73298] = 2, + ACTIONS(5467), 1, + aux_sym_at_time_zone_expression_token2, + [129602] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2881), 1, - aux_sym_from_clause_token1, - [73305] = 2, + ACTIONS(5469), 1, + aux_sym_at_time_zone_expression_token2, + [129609] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2883), 1, - anon_sym_RBRACK, - [73312] = 2, + ACTIONS(5471), 1, + aux_sym_at_time_zone_expression_token2, + [129616] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2885), 1, - anon_sym_RPAREN, - [73319] = 2, - ACTIONS(3), 1, + ACTIONS(5473), 1, + aux_sym_at_time_zone_expression_token2, + [129623] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(2887), 1, - anon_sym_DQUOTE, - [73326] = 2, + ACTIONS(5475), 1, + aux_sym__quoted_identifier_token1, + [129630] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(5477), 1, + aux_sym__quoted_identifier_token2, + [129637] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2889), 1, - anon_sym_RPAREN, - [73333] = 2, + ACTIONS(5479), 1, + anon_sym_DQUOTE, + [129644] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2887), 1, + ACTIONS(5479), 1, anon_sym_BQUOTE, - [73340] = 2, + [129651] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(5481), 1, + aux_sym_string_token1, + [129658] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(5483), 1, + aux_sym_string_token2, + [129665] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2891), 1, - aux_sym_grant_statement_token1, - [73347] = 2, + ACTIONS(5485), 1, + aux_sym_number_token1, + [129672] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(5487), 1, + aux_sym__quoted_identifier_token2, + [129679] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2893), 1, - aux_sym_grant_statement_token15, - [73354] = 2, + ACTIONS(5489), 1, + aux_sym_create_function_parameter_token1, + [129686] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2895), 1, - aux_sym_number_token1, - [73361] = 2, + ACTIONS(5491), 1, + aux_sym_at_time_zone_expression_token2, + [129693] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(5493), 1, + aux_sym__quoted_identifier_token1, + [129700] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2897), 1, - anon_sym_BQUOTE, - [73368] = 2, + ACTIONS(5495), 1, + aux_sym_at_time_zone_expression_token3, + [129707] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2897), 1, - anon_sym_DQUOTE, - [73375] = 2, + ACTIONS(5497), 1, + aux_sym_at_time_zone_expression_token2, + [129714] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2899), 1, + ACTIONS(5499), 1, anon_sym_RPAREN, - [73382] = 2, + [129721] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2901), 1, - aux_sym_null_hint_token3, - [73389] = 2, + ACTIONS(5501), 1, + aux_sym_at_time_zone_expression_token2, + [129728] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2903), 1, - anon_sym_SQUOTE, - [73396] = 2, + ACTIONS(5503), 1, + aux_sym_at_time_zone_expression_token2, + [129735] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2903), 1, - anon_sym_DOLLAR_DOLLAR, - [73403] = 2, + ACTIONS(5505), 1, + aux_sym_at_time_zone_expression_token3, + [129742] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2905), 1, - aux_sym_grant_statement_token15, - [73410] = 2, + ACTIONS(5507), 1, + aux_sym_at_time_zone_expression_token2, + [129749] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2907), 1, - aux_sym_grant_statement_token15, - [73417] = 2, + ACTIONS(5509), 1, + aux_sym_at_time_zone_expression_token2, + [129756] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2909), 1, - aux_sym_grant_statement_token1, - [73424] = 2, + ACTIONS(5511), 1, + aux_sym_at_time_zone_expression_token3, + [129763] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2911), 1, - aux_sym_grant_statement_token15, - [73431] = 2, + ACTIONS(5513), 1, + aux_sym_at_time_zone_expression_token2, + [129770] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2913), 1, - aux_sym_null_hint_token4, - [73438] = 2, + ACTIONS(5515), 1, + aux_sym_at_time_zone_expression_token2, + [129777] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2915), 1, - aux_sym_grant_statement_token1, - [73445] = 2, + ACTIONS(5517), 1, + aux_sym_at_time_zone_expression_token2, + [129784] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2917), 1, - aux_sym_grant_statement_token1, - [73452] = 2, + ACTIONS(5519), 1, + aux_sym_at_time_zone_expression_token2, + [129791] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2919), 1, - aux_sym_grant_statement_token15, - [73459] = 2, + ACTIONS(5521), 1, + aux_sym_at_time_zone_expression_token2, + [129798] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2921), 1, - aux_sym_from_clause_token1, - [73466] = 2, + ACTIONS(5523), 1, + aux_sym_at_time_zone_expression_token2, + [129805] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2923), 1, - anon_sym_RBRACK, - [73473] = 2, - ACTIONS(3), 1, + ACTIONS(5525), 1, + aux_sym_at_time_zone_expression_token2, + [129812] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(2925), 1, - aux_sym_grant_statement_token15, - [73480] = 2, + ACTIONS(5527), 1, + aux_sym__quoted_identifier_token1, + [129819] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(5529), 1, + aux_sym__quoted_identifier_token2, + [129826] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2927), 1, - aux_sym_grant_statement_token1, - [73487] = 2, + ACTIONS(5531), 1, + aux_sym_null_hint_token3, + [129833] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2929), 1, - anon_sym_RPAREN, - [73494] = 2, + ACTIONS(5533), 1, + aux_sym_at_time_zone_expression_token3, + [129840] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(5535), 1, + aux_sym_string_token1, + [129847] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(5537), 1, + aux_sym_string_token2, + [129854] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2931), 1, - aux_sym_grant_statement_token15, - [73501] = 2, + ACTIONS(5539), 1, + aux_sym_null_hint_token4, + [129861] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2933), 1, - anon_sym_EQ, - [73508] = 2, + ACTIONS(5541), 1, + aux_sym_at_time_zone_expression_token3, + [129868] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2935), 1, - aux_sym_null_hint_token4, - [73515] = 2, + ACTIONS(5543), 1, + aux_sym_create_function_parameter_token1, + [129875] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2937), 1, - aux_sym_number_token1, - [73522] = 2, + ACTIONS(5545), 1, + aux_sym_at_time_zone_expression_token2, + [129882] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2939), 1, - anon_sym_BQUOTE, - [73529] = 2, + ACTIONS(5547), 1, + aux_sym_grant_statement_token14, + [129889] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2939), 1, - anon_sym_DQUOTE, - [73536] = 2, + ACTIONS(5549), 1, + aux_sym_grant_statement_token14, + [129896] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2941), 1, - anon_sym_RPAREN, - [73543] = 2, + ACTIONS(5551), 1, + aux_sym_at_time_zone_expression_token2, + [129903] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2943), 1, - aux_sym_null_hint_token3, - [73550] = 2, + ACTIONS(5553), 1, + aux_sym_at_time_zone_expression_token3, + [129910] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2945), 1, - anon_sym_SQUOTE, - [73557] = 2, + ACTIONS(5555), 1, + aux_sym_at_time_zone_expression_token2, + [129917] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2945), 1, - anon_sym_DOLLAR_DOLLAR, - [73564] = 2, + ACTIONS(5557), 1, + aux_sym_at_time_zone_expression_token2, + [129924] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2947), 1, - aux_sym_grant_statement_token1, - [73571] = 2, + ACTIONS(5559), 1, + aux_sym_at_time_zone_expression_token3, + [129931] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2949), 1, - aux_sym_grant_statement_token1, - [73578] = 2, + ACTIONS(5561), 1, + aux_sym_at_time_zone_expression_token2, + [129938] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2951), 1, - aux_sym_grant_statement_token15, - [73585] = 2, + ACTIONS(5563), 1, + aux_sym_at_time_zone_expression_token2, + [129945] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2953), 1, - aux_sym_grant_statement_token15, - [73592] = 2, + ACTIONS(5565), 1, + aux_sym_at_time_zone_expression_token3, + [129952] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2955), 1, - anon_sym_RPAREN, - [73599] = 2, + ACTIONS(5567), 1, + aux_sym_at_time_zone_expression_token2, + [129959] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2957), 1, - aux_sym_null_hint_token3, - [73606] = 2, + ACTIONS(5569), 1, + aux_sym_at_time_zone_expression_token2, + [129966] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2959), 1, - aux_sym_null_hint_token2, - [73613] = 2, + ACTIONS(5571), 1, + aux_sym_at_time_zone_expression_token2, + [129973] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2961), 1, - aux_sym_time_zone_constraint_token3, - [73620] = 2, + ACTIONS(5573), 1, + aux_sym_at_time_zone_expression_token2, + [129980] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2963), 1, - aux_sym_from_clause_token1, - [73627] = 2, + ACTIONS(5575), 1, + aux_sym_at_time_zone_expression_token2, + [129987] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2965), 1, - anon_sym_RBRACK, - [73634] = 2, + ACTIONS(5577), 1, + aux_sym_at_time_zone_expression_token2, + [129994] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2967), 1, - aux_sym_time_zone_constraint_token3, - [73641] = 2, + ACTIONS(5579), 1, + aux_sym_at_time_zone_expression_token2, + [130001] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(5581), 1, + aux_sym__quoted_identifier_token1, + [130008] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(5583), 1, + aux_sym__quoted_identifier_token2, + [130015] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2969), 1, - aux_sym_grant_statement_token15, - [73648] = 2, + ACTIONS(5585), 1, + aux_sym_grant_statement_token14, + [130022] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2971), 1, - anon_sym_RPAREN, - [73655] = 2, + ACTIONS(5587), 1, + aux_sym_at_time_zone_expression_token3, + [130029] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(5589), 1, + aux_sym_string_token1, + [130036] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(5591), 1, + aux_sym_string_token2, + [130043] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2973), 1, - aux_sym_grant_statement_token1, - [73662] = 2, + ACTIONS(5593), 1, + aux_sym_at_time_zone_expression_token3, + [130050] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2975), 1, - anon_sym_BQUOTE, - [73669] = 2, + ACTIONS(5595), 1, + aux_sym_at_time_zone_expression_token3, + [130057] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2975), 1, - anon_sym_DQUOTE, - [73676] = 2, + ACTIONS(5597), 1, + aux_sym_create_function_parameter_token1, + [130064] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2977), 1, - aux_sym_grant_statement_token1, - [73683] = 2, + ACTIONS(5599), 1, + aux_sym_at_time_zone_expression_token2, + [130071] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2979), 1, - aux_sym_alter_table_action_alter_column_token3, - [73690] = 2, + ACTIONS(5601), 1, + aux_sym_at_time_zone_expression_token2, + [130078] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2981), 1, + ACTIONS(5603), 1, anon_sym_RPAREN, - [73697] = 2, + [130085] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2983), 1, - anon_sym_BQUOTE, - [73704] = 2, + ACTIONS(5605), 1, + aux_sym_at_time_zone_expression_token2, + [130092] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2983), 1, - anon_sym_DQUOTE, - [73711] = 2, + ACTIONS(5607), 1, + anon_sym_RPAREN, + [130099] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2985), 1, - anon_sym_LPAREN, - [73718] = 2, + ACTIONS(5609), 1, + aux_sym_at_time_zone_expression_token2, + [130106] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2987), 1, - anon_sym_LPAREN, - [73725] = 2, + ACTIONS(5611), 1, + aux_sym_at_time_zone_expression_token2, + [130113] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2989), 1, - anon_sym_BQUOTE, - [73732] = 2, + ACTIONS(5613), 1, + aux_sym_at_time_zone_expression_token3, + [130120] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2989), 1, - anon_sym_DQUOTE, - [73739] = 2, + ACTIONS(5615), 1, + aux_sym_at_time_zone_expression_token2, + [130127] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2991), 1, - aux_sym_null_hint_token2, - [73746] = 2, + ACTIONS(5617), 1, + aux_sym_at_time_zone_expression_token2, + [130134] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2993), 1, - anon_sym_BQUOTE, - [73753] = 2, + ACTIONS(5619), 1, + aux_sym_at_time_zone_expression_token3, + [130141] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2993), 1, - anon_sym_DQUOTE, - [73760] = 2, + ACTIONS(5621), 1, + aux_sym_at_time_zone_expression_token2, + [130148] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2995), 1, - anon_sym_BQUOTE, - [73767] = 2, + ACTIONS(5623), 1, + aux_sym_at_time_zone_expression_token2, + [130155] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2995), 1, - anon_sym_DQUOTE, - [73774] = 2, + ACTIONS(5625), 1, + aux_sym_at_time_zone_expression_token2, + [130162] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(2997), 1, - aux_sym_null_hint_token3, - [73781] = 2, - ACTIONS(2999), 1, - aux_sym__quoted_identifier_token1, - ACTIONS(3001), 1, + ACTIONS(5627), 1, + aux_sym_at_time_zone_expression_token2, + [130169] = 2, + ACTIONS(3), 1, sym_comment, - [73788] = 2, - ACTIONS(3001), 1, + ACTIONS(5629), 1, + aux_sym_at_time_zone_expression_token2, + [130176] = 2, + ACTIONS(3), 1, sym_comment, - ACTIONS(3003), 1, - aux_sym__quoted_identifier_token2, - [73795] = 2, + ACTIONS(5631), 1, + aux_sym_at_time_zone_expression_token2, + [130183] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3005), 1, - aux_sym_create_function_statement_token3, - [73802] = 2, - ACTIONS(3001), 1, + ACTIONS(5633), 1, + aux_sym_at_time_zone_expression_token2, + [130190] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(3007), 1, - aux_sym_string_token1, - [73809] = 2, - ACTIONS(3001), 1, + ACTIONS(5635), 1, + aux_sym__quoted_identifier_token1, + [130197] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(3009), 1, - aux_sym_string_token2, - [73816] = 2, + ACTIONS(5637), 1, + aux_sym__quoted_identifier_token2, + [130204] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3011), 1, - aux_sym_sequence_token7, - [73823] = 2, + ACTIONS(5639), 1, + anon_sym_RPAREN, + [130211] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3013), 1, - aux_sym_sequence_token7, - [73830] = 2, - ACTIONS(3), 1, + ACTIONS(5641), 1, + aux_sym_at_time_zone_expression_token3, + [130218] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(3015), 1, - aux_sym_table_constraint_foreign_key_token2, - [73837] = 2, - ACTIONS(3), 1, + ACTIONS(5643), 1, + aux_sym_string_token1, + [130225] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(3017), 1, - aux_sym_time_zone_constraint_token2, - [73844] = 2, + ACTIONS(5645), 1, + aux_sym_string_token2, + [130232] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3019), 1, - aux_sym_time_zone_constraint_token2, - [73851] = 2, + ACTIONS(5647), 1, + aux_sym_at_time_zone_expression_token3, + [130239] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3021), 1, - anon_sym_LPAREN, - [73858] = 2, + ACTIONS(5649), 1, + ts_builtin_sym_end, + [130246] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3023), 1, + ACTIONS(5651), 1, aux_sym_create_function_parameter_token1, - [73865] = 2, + [130253] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3025), 1, - anon_sym_RPAREN, - [73872] = 2, + ACTIONS(5653), 1, + aux_sym_at_time_zone_expression_token2, + [130260] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3027), 1, - aux_sym_null_hint_token2, - [73879] = 2, + ACTIONS(5655), 1, + anon_sym_RBRACK, + [130267] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3029), 1, - aux_sym_create_function_statement_token3, - [73886] = 2, + ACTIONS(5657), 1, + aux_sym_null_hint_token4, + [130274] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3031), 1, - aux_sym_set_statement_token3, - [73893] = 2, + ACTIONS(5659), 1, + aux_sym_at_time_zone_expression_token2, + [130281] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3033), 1, - aux_sym_grant_statement_token1, - [73900] = 2, + ACTIONS(5661), 1, + aux_sym_at_time_zone_expression_token3, + [130288] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3035), 1, - aux_sym_alter_table_action_alter_column_token2, - [73907] = 2, + ACTIONS(5663), 1, + aux_sym_at_time_zone_expression_token2, + [130295] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3037), 1, - aux_sym_create_function_statement_token3, - [73914] = 2, + ACTIONS(5665), 1, + aux_sym_at_time_zone_expression_token2, + [130302] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3039), 1, - aux_sym_mode_token1, - [73921] = 2, + ACTIONS(5667), 1, + anon_sym_RPAREN, + [130309] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3041), 1, - aux_sym_null_hint_token2, - [73928] = 2, + ACTIONS(5669), 1, + aux_sym_at_time_zone_expression_token2, + [130316] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3043), 1, - anon_sym_LPAREN, - [73935] = 2, + ACTIONS(5671), 1, + aux_sym_at_time_zone_expression_token2, + [130323] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3045), 1, - anon_sym_LPAREN, - [73942] = 2, + ACTIONS(5673), 1, + aux_sym_at_time_zone_expression_token3, + [130330] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3047), 1, - anon_sym_RBRACK, - [73949] = 2, + ACTIONS(5675), 1, + aux_sym_at_time_zone_expression_token2, + [130337] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3049), 1, - aux_sym_set_statement_token3, - [73956] = 2, + ACTIONS(5677), 1, + aux_sym_at_time_zone_expression_token2, + [130344] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3051), 1, - aux_sym_set_statement_token3, - [73963] = 2, + ACTIONS(5679), 1, + aux_sym_at_time_zone_expression_token2, + [130351] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3053), 1, - aux_sym_create_function_parameter_token1, - [73970] = 2, + ACTIONS(5681), 1, + aux_sym_at_time_zone_expression_token2, + [130358] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3055), 1, - aux_sym_table_constraint_foreign_key_token2, - [73977] = 2, + ACTIONS(5683), 1, + aux_sym_at_time_zone_expression_token2, + [130365] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3057), 1, - anon_sym_LPAREN, - [73984] = 2, - ACTIONS(3001), 1, + ACTIONS(5685), 1, + aux_sym_at_time_zone_expression_token2, + [130372] = 2, + ACTIONS(3), 1, sym_comment, - ACTIONS(3059), 1, + ACTIONS(5687), 1, + aux_sym_at_time_zone_expression_token2, + [130379] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(5689), 1, aux_sym__quoted_identifier_token1, - [73991] = 2, - ACTIONS(3001), 1, + [130386] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(3061), 1, + ACTIONS(5691), 1, aux_sym__quoted_identifier_token2, - [73998] = 2, + [130393] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3063), 1, - aux_sym_table_constraint_foreign_key_token2, - [74005] = 2, - ACTIONS(3001), 1, + ACTIONS(5693), 1, + aux_sym_at_time_zone_expression_token3, + [130400] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(5695), 1, + aux_sym_at_time_zone_expression_token3, + [130407] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(3065), 1, + ACTIONS(5697), 1, aux_sym_string_token1, - [74012] = 2, - ACTIONS(3001), 1, + [130414] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(3067), 1, + ACTIONS(5699), 1, aux_sym_string_token2, - [74019] = 2, + [130421] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3069), 1, - aux_sym_alter_table_token3, - [74026] = 2, + ACTIONS(5701), 1, + aux_sym_grant_statement_token14, + [130428] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3071), 1, - aux_sym_create_function_parameter_token1, - [74033] = 2, + ACTIONS(5703), 1, + aux_sym_grant_statement_token14, + [130435] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3073), 1, - aux_sym_null_hint_token2, - [74040] = 2, + ACTIONS(5705), 1, + aux_sym_create_function_parameter_token1, + [130442] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3075), 1, - anon_sym_EQ, - [74047] = 2, - ACTIONS(3001), 1, - sym_comment, - ACTIONS(3077), 1, - aux_sym__quoted_identifier_token1, - [74054] = 2, - ACTIONS(3001), 1, + ACTIONS(5707), 1, + aux_sym_at_time_zone_expression_token2, + [130449] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(3079), 1, - aux_sym__quoted_identifier_token2, - [74061] = 2, + ACTIONS(5709), 1, + aux_sym_pg_command_token2, + [130456] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3081), 1, - anon_sym_LPAREN, - [74068] = 2, - ACTIONS(3001), 1, + ACTIONS(5711), 1, + aux_sym_grant_statement_token14, + [130463] = 2, + ACTIONS(3), 1, sym_comment, - ACTIONS(3083), 1, - aux_sym_string_token1, - [74075] = 2, - ACTIONS(3001), 1, + ACTIONS(5713), 1, + aux_sym_at_time_zone_expression_token2, + [130470] = 2, + ACTIONS(3), 1, sym_comment, - ACTIONS(3085), 1, - aux_sym_string_token2, - [74082] = 2, + ACTIONS(5715), 1, + aux_sym_at_time_zone_expression_token3, + [130477] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3087), 1, - aux_sym_from_clause_token1, - [74089] = 2, + ACTIONS(5717), 1, + aux_sym_at_time_zone_expression_token2, + [130484] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3089), 1, - aux_sym_create_function_parameter_token1, - [74096] = 2, + ACTIONS(5719), 1, + aux_sym_at_time_zone_expression_token2, + [130491] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3091), 1, - aux_sym_set_statement_token3, - [74103] = 2, + ACTIONS(5721), 1, + aux_sym_at_time_zone_expression_token3, + [130498] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3093), 1, - aux_sym_set_statement_token3, - [74110] = 2, - ACTIONS(3001), 1, + ACTIONS(5723), 1, + aux_sym_at_time_zone_expression_token2, + [130505] = 2, + ACTIONS(3), 1, sym_comment, - ACTIONS(3095), 1, - aux_sym__quoted_identifier_token1, - [74117] = 2, - ACTIONS(3001), 1, + ACTIONS(5725), 1, + aux_sym_at_time_zone_expression_token2, + [130512] = 2, + ACTIONS(3), 1, sym_comment, - ACTIONS(3097), 1, - aux_sym__quoted_identifier_token2, - [74124] = 2, + ACTIONS(5727), 1, + aux_sym_at_time_zone_expression_token3, + [130519] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3099), 1, - aux_sym_alter_table_action_alter_column_token1, - [74131] = 2, - ACTIONS(3001), 1, + ACTIONS(5729), 1, + aux_sym_at_time_zone_expression_token2, + [130526] = 2, + ACTIONS(3), 1, sym_comment, - ACTIONS(3101), 1, - aux_sym_string_token1, - [74138] = 2, - ACTIONS(3001), 1, + ACTIONS(5731), 1, + aux_sym_at_time_zone_expression_token2, + [130533] = 2, + ACTIONS(3), 1, sym_comment, - ACTIONS(3103), 1, - aux_sym_string_token2, - [74145] = 2, + ACTIONS(5733), 1, + aux_sym_at_time_zone_expression_token2, + [130540] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3105), 1, - aux_sym_null_hint_token2, - [74152] = 2, + ACTIONS(5735), 1, + aux_sym_at_time_zone_expression_token2, + [130547] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3107), 1, - aux_sym_create_function_parameter_token1, - [74159] = 2, + ACTIONS(5737), 1, + aux_sym_at_time_zone_expression_token2, + [130554] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3109), 1, - aux_sym_alter_table_token3, - [74166] = 2, + ACTIONS(5739), 1, + aux_sym_at_time_zone_expression_token2, + [130561] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3111), 1, - aux_sym_alter_table_token3, - [74173] = 2, - ACTIONS(3001), 1, + ACTIONS(5741), 1, + aux_sym_at_time_zone_expression_token2, + [130568] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(3113), 1, + ACTIONS(5743), 1, aux_sym__quoted_identifier_token1, - [74180] = 2, - ACTIONS(3001), 1, + [130575] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(3115), 1, + ACTIONS(5745), 1, aux_sym__quoted_identifier_token2, - [74187] = 2, + [130582] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3117), 1, - aux_sym_create_function_statement_token3, - [74194] = 2, - ACTIONS(3001), 1, + ACTIONS(5747), 1, + aux_sym_at_time_zone_expression_token3, + [130589] = 2, + ACTIONS(3), 1, sym_comment, - ACTIONS(3119), 1, + ACTIONS(5749), 1, + aux_sym_from_clause_token1, + [130596] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(5751), 1, aux_sym_string_token1, - [74201] = 2, - ACTIONS(3001), 1, + [130603] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(3121), 1, + ACTIONS(5753), 1, aux_sym_string_token2, - [74208] = 2, + [130610] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3123), 1, - aux_sym_sequence_token7, - [74215] = 2, + ACTIONS(5755), 1, + aux_sym_at_time_zone_expression_token3, + [130617] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3125), 1, - aux_sym_create_function_parameter_token1, - [74222] = 2, + ACTIONS(5757), 1, + aux_sym_at_time_zone_expression_token2, + [130624] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3127), 1, - aux_sym_sequence_token5, - [74229] = 2, + ACTIONS(5759), 1, + aux_sym_create_function_parameter_token1, + [130631] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3129), 1, - aux_sym_alter_table_token3, - [74236] = 2, - ACTIONS(3001), 1, - sym_comment, - ACTIONS(3131), 1, - aux_sym__quoted_identifier_token1, - [74243] = 2, - ACTIONS(3001), 1, - sym_comment, - ACTIONS(3133), 1, - aux_sym__quoted_identifier_token2, - [74250] = 2, + ACTIONS(5761), 1, + aux_sym_at_time_zone_expression_token2, + [130638] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3135), 1, - aux_sym_alter_table_token3, - [74257] = 2, - ACTIONS(3001), 1, + ACTIONS(5763), 1, + aux_sym_insert_statement_token2, + [130645] = 2, + ACTIONS(3), 1, sym_comment, - ACTIONS(3137), 1, - aux_sym_string_token1, - [74264] = 2, - ACTIONS(3001), 1, + ACTIONS(5765), 1, + aux_sym_at_time_zone_expression_token2, + [130652] = 2, + ACTIONS(3), 1, sym_comment, - ACTIONS(3139), 1, - aux_sym_string_token2, - [74271] = 2, + ACTIONS(5767), 1, + aux_sym_at_time_zone_expression_token2, + [130659] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3141), 1, - aux_sym_sequence_token2, - [74278] = 2, + ACTIONS(5769), 1, + anon_sym_RPAREN, + [130666] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3143), 1, - aux_sym_create_function_parameter_token1, - [74285] = 2, + ACTIONS(5771), 1, + aux_sym_at_time_zone_expression_token2, + [130673] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3145), 1, - aux_sym_null_hint_token2, - [74292] = 2, + ACTIONS(5773), 1, + aux_sym_at_time_zone_expression_token2, + [130680] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3147), 1, + ACTIONS(5775), 1, aux_sym_join_clause_token1, - [74299] = 2, - ACTIONS(3001), 1, - sym_comment, - ACTIONS(3149), 1, - aux_sym__quoted_identifier_token1, - [74306] = 2, - ACTIONS(3001), 1, - sym_comment, - ACTIONS(3151), 1, - aux_sym__quoted_identifier_token2, - [74313] = 2, - ACTIONS(3001), 1, - sym_comment, - ACTIONS(3153), 1, - aux_sym_pg_command_token2, - [74320] = 2, - ACTIONS(3001), 1, - sym_comment, - ACTIONS(3155), 1, - aux_sym_string_token1, - [74327] = 2, - ACTIONS(3001), 1, - sym_comment, - ACTIONS(3157), 1, - aux_sym_string_token2, - [74334] = 2, + [130687] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3159), 1, - anon_sym_DOLLAR_DOLLAR, - [74341] = 2, + ACTIONS(5777), 1, + aux_sym_at_time_zone_expression_token2, + [130694] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3161), 1, - aux_sym_create_function_parameter_token1, - [74348] = 2, + ACTIONS(5779), 1, + aux_sym_at_time_zone_expression_token2, + [130701] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3159), 1, - anon_sym_SQUOTE, - [74355] = 2, + ACTIONS(5781), 1, + anon_sym_DOLLAR_DOLLAR, + [130708] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3163), 1, - anon_sym_RPAREN, - [74362] = 2, - ACTIONS(3001), 1, - sym_comment, - ACTIONS(3165), 1, - aux_sym__quoted_identifier_token1, - [74369] = 2, - ACTIONS(3001), 1, - sym_comment, - ACTIONS(3167), 1, - aux_sym__quoted_identifier_token2, - [74376] = 2, + ACTIONS(5783), 1, + aux_sym_at_time_zone_expression_token2, + [130715] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3169), 1, - aux_sym_null_hint_token2, - [74383] = 2, - ACTIONS(3001), 1, - sym_comment, - ACTIONS(3171), 1, - aux_sym_string_token1, - [74390] = 2, - ACTIONS(3001), 1, + ACTIONS(5785), 1, + aux_sym_at_time_zone_expression_token2, + [130722] = 2, + ACTIONS(3), 1, sym_comment, - ACTIONS(3173), 1, - aux_sym_string_token2, - [74397] = 2, + ACTIONS(5787), 1, + aux_sym_at_time_zone_expression_token2, + [130729] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3175), 1, - aux_sym_set_statement_token3, - [74404] = 2, + ACTIONS(5789), 1, + aux_sym_at_time_zone_expression_token2, + [130736] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3177), 1, - aux_sym_create_function_parameter_token1, - [74411] = 2, + ACTIONS(5791), 1, + aux_sym_at_time_zone_expression_token2, + [130743] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3179), 1, - aux_sym_alter_table_token3, - [74418] = 2, + ACTIONS(5793), 1, + aux_sym_at_time_zone_expression_token2, + [130750] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3181), 1, - anon_sym_DQUOTE, - [74425] = 2, - ACTIONS(3001), 1, + ACTIONS(5795), 1, + aux_sym_at_time_zone_expression_token2, + [130757] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(3183), 1, + ACTIONS(5797), 1, aux_sym__quoted_identifier_token1, - [74432] = 2, - ACTIONS(3001), 1, + [130764] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(3185), 1, + ACTIONS(5799), 1, aux_sym__quoted_identifier_token2, - [74439] = 2, + [130771] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3181), 1, - anon_sym_BQUOTE, - [74446] = 2, - ACTIONS(3001), 1, - sym_comment, - ACTIONS(3187), 1, - aux_sym_string_token1, - [74453] = 2, - ACTIONS(3001), 1, + ACTIONS(5801), 1, + aux_sym_at_time_zone_expression_token2, + [130778] = 2, + ACTIONS(3), 1, sym_comment, - ACTIONS(3189), 1, - aux_sym_string_token2, - [74460] = 2, + ACTIONS(5781), 1, + anon_sym_SQUOTE, + [130785] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3191), 1, - aux_sym_alter_table_token3, - [74467] = 2, + ACTIONS(5803), 1, + aux_sym_at_time_zone_expression_token2, + [130792] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3193), 1, - aux_sym_create_function_parameter_token1, - [74474] = 2, + ACTIONS(5805), 1, + aux_sym_at_time_zone_expression_token2, + [130799] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3195), 1, - aux_sym_null_hint_token2, - [74481] = 2, + ACTIONS(5807), 1, + anon_sym_RPAREN, + [130806] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3197), 1, - aux_sym_sequence_token3, - [74488] = 2, - ACTIONS(3001), 1, + ACTIONS(5809), 1, + aux_sym_at_time_zone_expression_token2, + [130813] = 2, + ACTIONS(3), 1, sym_comment, - ACTIONS(3199), 1, - aux_sym__quoted_identifier_token1, - [74495] = 2, - ACTIONS(3001), 1, + ACTIONS(5811), 1, + aux_sym_at_time_zone_expression_token2, + [130820] = 2, + ACTIONS(3), 1, sym_comment, - ACTIONS(3201), 1, - aux_sym__quoted_identifier_token2, - [74502] = 2, + ACTIONS(5813), 1, + anon_sym_DQUOTE, + [130827] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3203), 1, - aux_sym_sequence_token2, - [74509] = 2, - ACTIONS(3001), 1, + ACTIONS(5815), 1, + aux_sym_at_time_zone_expression_token2, + [130834] = 2, + ACTIONS(3), 1, sym_comment, - ACTIONS(3205), 1, - aux_sym_string_token1, - [74516] = 2, - ACTIONS(3001), 1, + ACTIONS(5817), 1, + aux_sym_at_time_zone_expression_token2, + [130841] = 2, + ACTIONS(3), 1, sym_comment, - ACTIONS(3207), 1, - aux_sym_string_token2, - [74523] = 2, + ACTIONS(5819), 1, + aux_sym_at_time_zone_expression_token2, + [130848] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3209), 1, - aux_sym_sequence_token2, - [74530] = 2, + ACTIONS(5821), 1, + aux_sym_at_time_zone_expression_token2, + [130855] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3211), 1, - aux_sym_create_function_parameter_token1, - [74537] = 2, + ACTIONS(5823), 1, + aux_sym_at_time_zone_expression_token2, + [130862] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3213), 1, - aux_sym_sequence_token2, - [74544] = 2, + ACTIONS(5825), 1, + aux_sym_at_time_zone_expression_token2, + [130869] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3215), 1, - aux_sym_join_clause_token1, - [74551] = 2, - ACTIONS(3001), 1, + ACTIONS(5827), 1, + aux_sym_at_time_zone_expression_token2, + [130876] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(3217), 1, + ACTIONS(5829), 1, aux_sym__quoted_identifier_token1, - [74558] = 2, - ACTIONS(3001), 1, + [130883] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(3219), 1, + ACTIONS(5831), 1, aux_sym__quoted_identifier_token2, - [74565] = 2, - ACTIONS(3), 1, + [130890] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(2735), 1, - aux_sym_join_clause_token1, - [74572] = 2, - ACTIONS(3001), 1, + ACTIONS(5833), 1, + aux_sym__quoted_identifier_token1, + [130897] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(3221), 1, - aux_sym_string_token1, - [74579] = 2, - ACTIONS(3001), 1, + ACTIONS(5835), 1, + aux_sym__quoted_identifier_token2, + [130904] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(3223), 1, - aux_sym_string_token2, - [74586] = 2, - ACTIONS(3), 1, + ACTIONS(5837), 1, + aux_sym__quoted_identifier_token1, + [130911] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(3225), 1, - aux_sym_sequence_token7, - [74593] = 2, - ACTIONS(3), 1, + ACTIONS(5839), 1, + aux_sym__quoted_identifier_token2, + [130918] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(3227), 1, - aux_sym_create_function_parameter_token1, - [74600] = 2, - ACTIONS(3), 1, + ACTIONS(5841), 1, + aux_sym__quoted_identifier_token1, + [130925] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(3229), 1, - aux_sym_sequence_token7, - [74607] = 2, - ACTIONS(3), 1, + ACTIONS(5843), 1, + aux_sym__quoted_identifier_token2, + [130932] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(3231), 1, - aux_sym_number_token1, - [74614] = 2, - ACTIONS(3001), 1, + ACTIONS(5845), 1, + aux_sym__quoted_identifier_token1, + [130939] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(3233), 1, + ACTIONS(5847), 1, + aux_sym__quoted_identifier_token2, + [130946] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(5849), 1, aux_sym__quoted_identifier_token1, - [74621] = 2, - ACTIONS(3001), 1, + [130953] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(3235), 1, + ACTIONS(5851), 1, aux_sym__quoted_identifier_token2, - [74628] = 2, - ACTIONS(3001), 1, + [130960] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(3237), 1, - aux_sym_string_token2, - [74635] = 2, - ACTIONS(3001), 1, + ACTIONS(5853), 1, + aux_sym__quoted_identifier_token1, + [130967] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(3239), 1, - aux_sym_string_token1, - [74642] = 2, - ACTIONS(3001), 1, + ACTIONS(5855), 1, + aux_sym__quoted_identifier_token2, + [130974] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(3241), 1, - aux_sym_string_token2, - [74649] = 2, - ACTIONS(3001), 1, + ACTIONS(5857), 1, + aux_sym__quoted_identifier_token1, + [130981] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(3243), 1, - aux_sym_string_token1, - [74656] = 2, - ACTIONS(3), 1, + ACTIONS(5859), 1, + aux_sym__quoted_identifier_token2, + [130988] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(3245), 1, - aux_sym_create_function_parameter_token1, - [74663] = 2, - ACTIONS(3001), 1, + ACTIONS(5861), 1, + aux_sym__quoted_identifier_token1, + [130995] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(3247), 1, + ACTIONS(5863), 1, aux_sym__quoted_identifier_token2, - [74670] = 2, - ACTIONS(3001), 1, + [131002] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(3249), 1, + ACTIONS(5865), 1, aux_sym__quoted_identifier_token1, - [74677] = 2, - ACTIONS(3001), 1, + [131009] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(5867), 1, + aux_sym__quoted_identifier_token2, + [131016] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(3251), 1, + ACTIONS(5869), 1, aux_sym__quoted_identifier_token1, - [74684] = 2, - ACTIONS(3001), 1, + [131023] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(3253), 1, + ACTIONS(5871), 1, aux_sym__quoted_identifier_token2, - [74691] = 2, - ACTIONS(3), 1, + [131030] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(3255), 1, - aux_sym_create_index_statement_token1, - [74698] = 2, - ACTIONS(3001), 1, + ACTIONS(5873), 1, + aux_sym__quoted_identifier_token1, + [131037] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(5875), 1, + aux_sym__quoted_identifier_token2, + [131044] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(3257), 1, + ACTIONS(5877), 1, aux_sym__quoted_identifier_token1, - [74705] = 2, - ACTIONS(3001), 1, + [131051] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(3259), 1, + ACTIONS(5879), 1, aux_sym__quoted_identifier_token2, - [74712] = 2, - ACTIONS(3001), 1, + [131058] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(3261), 1, + ACTIONS(5881), 1, aux_sym__quoted_identifier_token1, - [74719] = 2, - ACTIONS(3001), 1, + [131065] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(3263), 1, + ACTIONS(5883), 1, aux_sym__quoted_identifier_token2, - [74726] = 2, - ACTIONS(3001), 1, + [131072] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(3265), 1, + ACTIONS(5885), 1, aux_sym__quoted_identifier_token1, - [74733] = 2, - ACTIONS(3001), 1, + [131079] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(3267), 1, + ACTIONS(5887), 1, aux_sym__quoted_identifier_token2, - [74740] = 2, - ACTIONS(3001), 1, + [131086] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(3269), 1, + ACTIONS(5889), 1, aux_sym__quoted_identifier_token1, - [74747] = 2, - ACTIONS(3001), 1, + [131093] = 2, + ACTIONS(4935), 1, sym_comment, - ACTIONS(3271), 1, + ACTIONS(5891), 1, aux_sym__quoted_identifier_token2, - [74754] = 2, + [131100] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(5893), 1, + aux_sym__quoted_identifier_token1, + [131107] = 2, + ACTIONS(4935), 1, + sym_comment, + ACTIONS(5895), 1, + aux_sym__quoted_identifier_token2, + [131114] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3273), 1, - aux_sym_create_function_statement_token2, - [74761] = 2, + ACTIONS(5897), 1, + aux_sym_null_hint_token3, + [131121] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3275), 1, - ts_builtin_sym_end, - [74768] = 2, + ACTIONS(5899), 1, + anon_sym_LPAREN, + [131128] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3277), 1, - aux_sym_insert_statement_token1, - [74775] = 2, + ACTIONS(5901), 1, + aux_sym_grant_statement_token14, + [131135] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(5903), 1, + aux_sym_at_time_zone_expression_token3, + [131142] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(5905), 1, + aux_sym_at_time_zone_expression_token3, + [131149] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(5907), 1, + aux_sym_at_time_zone_expression_token2, + [131156] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(5813), 1, + anon_sym_BQUOTE, + [131163] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(5909), 1, + aux_sym_number_token1, + [131170] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(5911), 1, + anon_sym_LPAREN, + [131177] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(5913), 1, + anon_sym_LPAREN, + [131184] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(5915), 1, + anon_sym_LPAREN, + [131191] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(5917), 1, + anon_sym_LPAREN, + [131198] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(5919), 1, + anon_sym_LPAREN, + [131205] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(5921), 1, + anon_sym_LPAREN, + [131212] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(5923), 1, + anon_sym_LPAREN, + [131219] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(5925), 1, + anon_sym_LPAREN, + [131226] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(5927), 1, + anon_sym_LPAREN, + [131233] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(5929), 1, + anon_sym_LPAREN, + [131240] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(5931), 1, + anon_sym_LPAREN, + [131247] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(5933), 1, + anon_sym_LPAREN, + [131254] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(5935), 1, + anon_sym_LPAREN, + [131261] = 2, + ACTIONS(3), 1, + sym_comment, + ACTIONS(5937), 1, + anon_sym_LPAREN, + [131268] = 2, ACTIONS(3), 1, sym_comment, - ACTIONS(3279), 1, + ACTIONS(5939), 1, aux_sym_join_clause_token1, }; static const uint32_t ts_small_parse_table_map[] = { - [SMALL_STATE(3)] = 0, - [SMALL_STATE(4)] = 70, - [SMALL_STATE(5)] = 140, - [SMALL_STATE(6)] = 210, - [SMALL_STATE(7)] = 283, - [SMALL_STATE(8)] = 364, - [SMALL_STATE(9)] = 445, - [SMALL_STATE(10)] = 514, - [SMALL_STATE(11)] = 583, - [SMALL_STATE(12)] = 656, - [SMALL_STATE(13)] = 728, - [SMALL_STATE(14)] = 808, - [SMALL_STATE(15)] = 876, - [SMALL_STATE(16)] = 944, - [SMALL_STATE(17)] = 1012, - [SMALL_STATE(18)] = 1080, - [SMALL_STATE(19)] = 1148, - [SMALL_STATE(20)] = 1215, - [SMALL_STATE(21)] = 1286, - [SMALL_STATE(22)] = 1357, - [SMALL_STATE(23)] = 1428, - [SMALL_STATE(24)] = 1495, - [SMALL_STATE(25)] = 1562, - [SMALL_STATE(26)] = 1633, - [SMALL_STATE(27)] = 1703, - [SMALL_STATE(28)] = 1773, - [SMALL_STATE(29)] = 1839, - [SMALL_STATE(30)] = 1909, - [SMALL_STATE(31)] = 2025, - [SMALL_STATE(32)] = 2095, - [SMALL_STATE(33)] = 2163, - [SMALL_STATE(34)] = 2232, - [SMALL_STATE(35)] = 2297, - [SMALL_STATE(36)] = 2362, - [SMALL_STATE(37)] = 2427, - [SMALL_STATE(38)] = 2492, - [SMALL_STATE(39)] = 2558, - [SMALL_STATE(40)] = 2624, - [SMALL_STATE(41)] = 2688, - [SMALL_STATE(42)] = 2752, - [SMALL_STATE(43)] = 2816, - [SMALL_STATE(44)] = 2916, - [SMALL_STATE(45)] = 2982, - [SMALL_STATE(46)] = 3046, - [SMALL_STATE(47)] = 3110, - [SMALL_STATE(48)] = 3173, - [SMALL_STATE(49)] = 3236, - [SMALL_STATE(50)] = 3299, - [SMALL_STATE(51)] = 3362, - [SMALL_STATE(52)] = 3437, - [SMALL_STATE(53)] = 3502, - [SMALL_STATE(54)] = 3567, - [SMALL_STATE(55)] = 3630, - [SMALL_STATE(56)] = 3695, - [SMALL_STATE(57)] = 3794, - [SMALL_STATE(58)] = 3859, - [SMALL_STATE(59)] = 3922, - [SMALL_STATE(60)] = 3985, - [SMALL_STATE(61)] = 4048, - [SMALL_STATE(62)] = 4111, - [SMALL_STATE(63)] = 4174, - [SMALL_STATE(64)] = 4237, - [SMALL_STATE(65)] = 4300, - [SMALL_STATE(66)] = 4363, - [SMALL_STATE(67)] = 4428, - [SMALL_STATE(68)] = 4493, - [SMALL_STATE(69)] = 4558, - [SMALL_STATE(70)] = 4621, - [SMALL_STATE(71)] = 4684, - [SMALL_STATE(72)] = 4747, - [SMALL_STATE(73)] = 4810, - [SMALL_STATE(74)] = 4875, - [SMALL_STATE(75)] = 4940, - [SMALL_STATE(76)] = 5003, - [SMALL_STATE(77)] = 5067, - [SMALL_STATE(78)] = 5129, - [SMALL_STATE(79)] = 5191, - [SMALL_STATE(80)] = 5253, - [SMALL_STATE(81)] = 5315, - [SMALL_STATE(82)] = 5377, - [SMALL_STATE(83)] = 5439, - [SMALL_STATE(84)] = 5501, - [SMALL_STATE(85)] = 5563, - [SMALL_STATE(86)] = 5625, - [SMALL_STATE(87)] = 5697, - [SMALL_STATE(88)] = 5759, - [SMALL_STATE(89)] = 5821, - [SMALL_STATE(90)] = 5897, - [SMALL_STATE(91)] = 5975, - [SMALL_STATE(92)] = 6043, - [SMALL_STATE(93)] = 6105, - [SMALL_STATE(94)] = 6167, - [SMALL_STATE(95)] = 6229, - [SMALL_STATE(96)] = 6291, - [SMALL_STATE(97)] = 6353, - [SMALL_STATE(98)] = 6415, - [SMALL_STATE(99)] = 6477, - [SMALL_STATE(100)] = 6539, - [SMALL_STATE(101)] = 6601, - [SMALL_STATE(102)] = 6663, - [SMALL_STATE(103)] = 6749, - [SMALL_STATE(104)] = 6811, - [SMALL_STATE(105)] = 6873, - [SMALL_STATE(106)] = 6935, - [SMALL_STATE(107)] = 6999, - [SMALL_STATE(108)] = 7061, - [SMALL_STATE(109)] = 7123, - [SMALL_STATE(110)] = 7185, - [SMALL_STATE(111)] = 7247, - [SMALL_STATE(112)] = 7309, - [SMALL_STATE(113)] = 7371, - [SMALL_STATE(114)] = 7435, - [SMALL_STATE(115)] = 7497, - [SMALL_STATE(116)] = 7561, - [SMALL_STATE(117)] = 7625, - [SMALL_STATE(118)] = 7687, - [SMALL_STATE(119)] = 7755, - [SMALL_STATE(120)] = 7817, - [SMALL_STATE(121)] = 7895, - [SMALL_STATE(122)] = 7971, - [SMALL_STATE(123)] = 8033, - [SMALL_STATE(124)] = 8105, - [SMALL_STATE(125)] = 8167, - [SMALL_STATE(126)] = 8229, - [SMALL_STATE(127)] = 8291, - [SMALL_STATE(128)] = 8353, - [SMALL_STATE(129)] = 8439, - [SMALL_STATE(130)] = 8501, - [SMALL_STATE(131)] = 8565, - [SMALL_STATE(132)] = 8627, - [SMALL_STATE(133)] = 8689, - [SMALL_STATE(134)] = 8751, - [SMALL_STATE(135)] = 8813, - [SMALL_STATE(136)] = 8875, - [SMALL_STATE(137)] = 8937, - [SMALL_STATE(138)] = 8999, - [SMALL_STATE(139)] = 9061, - [SMALL_STATE(140)] = 9123, - [SMALL_STATE(141)] = 9184, - [SMALL_STATE(142)] = 9255, - [SMALL_STATE(143)] = 9320, - [SMALL_STATE(144)] = 9385, - [SMALL_STATE(145)] = 9446, - [SMALL_STATE(146)] = 9511, - [SMALL_STATE(147)] = 9576, - [SMALL_STATE(148)] = 9661, - [SMALL_STATE(149)] = 9722, - [SMALL_STATE(150)] = 9783, - [SMALL_STATE(151)] = 9844, - [SMALL_STATE(152)] = 9905, - [SMALL_STATE(153)] = 9968, - [SMALL_STATE(154)] = 10029, - [SMALL_STATE(155)] = 10096, - [SMALL_STATE(156)] = 10173, - [SMALL_STATE(157)] = 10238, - [SMALL_STATE(158)] = 10313, - [SMALL_STATE(159)] = 10374, - [SMALL_STATE(160)] = 10435, - [SMALL_STATE(161)] = 10496, - [SMALL_STATE(162)] = 10557, - [SMALL_STATE(163)] = 10618, - [SMALL_STATE(164)] = 10679, - [SMALL_STATE(165)] = 10740, - [SMALL_STATE(166)] = 10801, - [SMALL_STATE(167)] = 10862, - [SMALL_STATE(168)] = 10923, - [SMALL_STATE(169)] = 10984, - [SMALL_STATE(170)] = 11045, - [SMALL_STATE(171)] = 11106, - [SMALL_STATE(172)] = 11166, - [SMALL_STATE(173)] = 11250, - [SMALL_STATE(174)] = 11310, - [SMALL_STATE(175)] = 11374, - [SMALL_STATE(176)] = 11444, - [SMALL_STATE(177)] = 11504, - [SMALL_STATE(178)] = 11563, - [SMALL_STATE(179)] = 11622, - [SMALL_STATE(180)] = 11679, - [SMALL_STATE(181)] = 11748, - [SMALL_STATE(182)] = 11805, - [SMALL_STATE(183)] = 11862, - [SMALL_STATE(184)] = 11921, - [SMALL_STATE(185)] = 11978, - [SMALL_STATE(186)] = 12035, - [SMALL_STATE(187)] = 12092, - [SMALL_STATE(188)] = 12151, - [SMALL_STATE(189)] = 12208, - [SMALL_STATE(190)] = 12276, - [SMALL_STATE(191)] = 12332, - [SMALL_STATE(192)] = 12388, - [SMALL_STATE(193)] = 12492, - [SMALL_STATE(194)] = 12596, - [SMALL_STATE(195)] = 12652, - [SMALL_STATE(196)] = 12720, - [SMALL_STATE(197)] = 12824, - [SMALL_STATE(198)] = 12880, - [SMALL_STATE(199)] = 12936, - [SMALL_STATE(200)] = 13002, - [SMALL_STATE(201)] = 13080, - [SMALL_STATE(202)] = 13136, - [SMALL_STATE(203)] = 13192, - [SMALL_STATE(204)] = 13248, - [SMALL_STATE(205)] = 13326, - [SMALL_STATE(206)] = 13382, - [SMALL_STATE(207)] = 13460, - [SMALL_STATE(208)] = 13530, - [SMALL_STATE(209)] = 13586, - [SMALL_STATE(210)] = 13690, - [SMALL_STATE(211)] = 13746, - [SMALL_STATE(212)] = 13802, - [SMALL_STATE(213)] = 13906, - [SMALL_STATE(214)] = 14010, - [SMALL_STATE(215)] = 14114, - [SMALL_STATE(216)] = 14170, - [SMALL_STATE(217)] = 14226, - [SMALL_STATE(218)] = 14284, - [SMALL_STATE(219)] = 14340, - [SMALL_STATE(220)] = 14444, - [SMALL_STATE(221)] = 14500, - [SMALL_STATE(222)] = 14604, - [SMALL_STATE(223)] = 14660, - [SMALL_STATE(224)] = 14764, - [SMALL_STATE(225)] = 14868, - [SMALL_STATE(226)] = 14924, - [SMALL_STATE(227)] = 14996, - [SMALL_STATE(228)] = 15100, - [SMALL_STATE(229)] = 15156, - [SMALL_STATE(230)] = 15260, - [SMALL_STATE(231)] = 15316, - [SMALL_STATE(232)] = 15372, - [SMALL_STATE(233)] = 15428, - [SMALL_STATE(234)] = 15484, - [SMALL_STATE(235)] = 15546, - [SMALL_STATE(236)] = 15602, - [SMALL_STATE(237)] = 15701, - [SMALL_STATE(238)] = 15760, - [SMALL_STATE(239)] = 15859, - [SMALL_STATE(240)] = 15958, - [SMALL_STATE(241)] = 16013, - [SMALL_STATE(242)] = 16072, - [SMALL_STATE(243)] = 16131, - [SMALL_STATE(244)] = 16230, - [SMALL_STATE(245)] = 16285, - [SMALL_STATE(246)] = 16384, - [SMALL_STATE(247)] = 16483, - [SMALL_STATE(248)] = 16550, - [SMALL_STATE(249)] = 16609, - [SMALL_STATE(250)] = 16707, - [SMALL_STATE(251)] = 16765, - [SMALL_STATE(252)] = 16863, - [SMALL_STATE(253)] = 16961, - [SMALL_STATE(254)] = 17015, - [SMALL_STATE(255)] = 17113, - [SMALL_STATE(256)] = 17211, - [SMALL_STATE(257)] = 17309, - [SMALL_STATE(258)] = 17407, - [SMALL_STATE(259)] = 17505, - [SMALL_STATE(260)] = 17603, - [SMALL_STATE(261)] = 17661, - [SMALL_STATE(262)] = 17719, - [SMALL_STATE(263)] = 17773, - [SMALL_STATE(264)] = 17871, - [SMALL_STATE(265)] = 17969, - [SMALL_STATE(266)] = 18067, - [SMALL_STATE(267)] = 18125, - [SMALL_STATE(268)] = 18223, - [SMALL_STATE(269)] = 18277, - [SMALL_STATE(270)] = 18375, - [SMALL_STATE(271)] = 18473, - [SMALL_STATE(272)] = 18571, - [SMALL_STATE(273)] = 18625, - [SMALL_STATE(274)] = 18723, - [SMALL_STATE(275)] = 18821, - [SMALL_STATE(276)] = 18919, - [SMALL_STATE(277)] = 19017, - [SMALL_STATE(278)] = 19115, - [SMALL_STATE(279)] = 19213, - [SMALL_STATE(280)] = 19308, - [SMALL_STATE(281)] = 19403, - [SMALL_STATE(282)] = 19498, - [SMALL_STATE(283)] = 19593, - [SMALL_STATE(284)] = 19688, - [SMALL_STATE(285)] = 19783, - [SMALL_STATE(286)] = 19878, - [SMALL_STATE(287)] = 19973, - [SMALL_STATE(288)] = 20068, - [SMALL_STATE(289)] = 20163, - [SMALL_STATE(290)] = 20258, - [SMALL_STATE(291)] = 20353, - [SMALL_STATE(292)] = 20448, - [SMALL_STATE(293)] = 20543, - [SMALL_STATE(294)] = 20638, - [SMALL_STATE(295)] = 20733, - [SMALL_STATE(296)] = 20828, - [SMALL_STATE(297)] = 20923, - [SMALL_STATE(298)] = 21018, - [SMALL_STATE(299)] = 21113, - [SMALL_STATE(300)] = 21208, - [SMALL_STATE(301)] = 21303, - [SMALL_STATE(302)] = 21398, - [SMALL_STATE(303)] = 21493, - [SMALL_STATE(304)] = 21588, - [SMALL_STATE(305)] = 21683, - [SMALL_STATE(306)] = 21778, - [SMALL_STATE(307)] = 21873, - [SMALL_STATE(308)] = 21968, - [SMALL_STATE(309)] = 22063, - [SMALL_STATE(310)] = 22158, - [SMALL_STATE(311)] = 22253, - [SMALL_STATE(312)] = 22348, - [SMALL_STATE(313)] = 22443, - [SMALL_STATE(314)] = 22538, - [SMALL_STATE(315)] = 22633, - [SMALL_STATE(316)] = 22728, - [SMALL_STATE(317)] = 22823, - [SMALL_STATE(318)] = 22918, - [SMALL_STATE(319)] = 23013, - [SMALL_STATE(320)] = 23108, - [SMALL_STATE(321)] = 23203, - [SMALL_STATE(322)] = 23298, - [SMALL_STATE(323)] = 23393, - [SMALL_STATE(324)] = 23488, - [SMALL_STATE(325)] = 23583, - [SMALL_STATE(326)] = 23678, - [SMALL_STATE(327)] = 23773, - [SMALL_STATE(328)] = 23868, - [SMALL_STATE(329)] = 23963, - [SMALL_STATE(330)] = 24058, - [SMALL_STATE(331)] = 24153, - [SMALL_STATE(332)] = 24248, - [SMALL_STATE(333)] = 24343, - [SMALL_STATE(334)] = 24438, - [SMALL_STATE(335)] = 24533, - [SMALL_STATE(336)] = 24628, - [SMALL_STATE(337)] = 24723, - [SMALL_STATE(338)] = 24818, - [SMALL_STATE(339)] = 24913, - [SMALL_STATE(340)] = 25008, - [SMALL_STATE(341)] = 25103, - [SMALL_STATE(342)] = 25198, - [SMALL_STATE(343)] = 25293, - [SMALL_STATE(344)] = 25388, - [SMALL_STATE(345)] = 25483, - [SMALL_STATE(346)] = 25578, - [SMALL_STATE(347)] = 25673, - [SMALL_STATE(348)] = 25768, - [SMALL_STATE(349)] = 25863, - [SMALL_STATE(350)] = 25958, - [SMALL_STATE(351)] = 26053, - [SMALL_STATE(352)] = 26148, - [SMALL_STATE(353)] = 26243, - [SMALL_STATE(354)] = 26338, - [SMALL_STATE(355)] = 26433, - [SMALL_STATE(356)] = 26528, - [SMALL_STATE(357)] = 26623, - [SMALL_STATE(358)] = 26718, - [SMALL_STATE(359)] = 26813, - [SMALL_STATE(360)] = 26908, - [SMALL_STATE(361)] = 27003, - [SMALL_STATE(362)] = 27098, - [SMALL_STATE(363)] = 27193, - [SMALL_STATE(364)] = 27288, - [SMALL_STATE(365)] = 27383, - [SMALL_STATE(366)] = 27478, - [SMALL_STATE(367)] = 27531, - [SMALL_STATE(368)] = 27626, - [SMALL_STATE(369)] = 27683, - [SMALL_STATE(370)] = 27778, - [SMALL_STATE(371)] = 27873, - [SMALL_STATE(372)] = 27930, - [SMALL_STATE(373)] = 28025, - [SMALL_STATE(374)] = 28120, - [SMALL_STATE(375)] = 28215, - [SMALL_STATE(376)] = 28310, - [SMALL_STATE(377)] = 28405, - [SMALL_STATE(378)] = 28500, - [SMALL_STATE(379)] = 28595, - [SMALL_STATE(380)] = 28690, - [SMALL_STATE(381)] = 28785, - [SMALL_STATE(382)] = 28880, - [SMALL_STATE(383)] = 28975, - [SMALL_STATE(384)] = 29070, - [SMALL_STATE(385)] = 29165, - [SMALL_STATE(386)] = 29260, - [SMALL_STATE(387)] = 29355, - [SMALL_STATE(388)] = 29450, - [SMALL_STATE(389)] = 29545, - [SMALL_STATE(390)] = 29640, - [SMALL_STATE(391)] = 29735, - [SMALL_STATE(392)] = 29830, - [SMALL_STATE(393)] = 29925, - [SMALL_STATE(394)] = 30020, - [SMALL_STATE(395)] = 30115, - [SMALL_STATE(396)] = 30210, - [SMALL_STATE(397)] = 30305, - [SMALL_STATE(398)] = 30400, - [SMALL_STATE(399)] = 30457, - [SMALL_STATE(400)] = 30552, - [SMALL_STATE(401)] = 30647, - [SMALL_STATE(402)] = 30742, - [SMALL_STATE(403)] = 30837, - [SMALL_STATE(404)] = 30932, - [SMALL_STATE(405)] = 31027, - [SMALL_STATE(406)] = 31122, - [SMALL_STATE(407)] = 31217, - [SMALL_STATE(408)] = 31312, - [SMALL_STATE(409)] = 31407, - [SMALL_STATE(410)] = 31502, - [SMALL_STATE(411)] = 31597, - [SMALL_STATE(412)] = 31692, - [SMALL_STATE(413)] = 31787, - [SMALL_STATE(414)] = 31882, - [SMALL_STATE(415)] = 31977, - [SMALL_STATE(416)] = 32072, - [SMALL_STATE(417)] = 32167, - [SMALL_STATE(418)] = 32262, - [SMALL_STATE(419)] = 32357, - [SMALL_STATE(420)] = 32452, - [SMALL_STATE(421)] = 32547, - [SMALL_STATE(422)] = 32642, - [SMALL_STATE(423)] = 32737, - [SMALL_STATE(424)] = 32832, - [SMALL_STATE(425)] = 32927, - [SMALL_STATE(426)] = 33022, - [SMALL_STATE(427)] = 33117, - [SMALL_STATE(428)] = 33212, - [SMALL_STATE(429)] = 33307, - [SMALL_STATE(430)] = 33402, - [SMALL_STATE(431)] = 33497, - [SMALL_STATE(432)] = 33592, - [SMALL_STATE(433)] = 33687, - [SMALL_STATE(434)] = 33782, - [SMALL_STATE(435)] = 33877, - [SMALL_STATE(436)] = 33972, - [SMALL_STATE(437)] = 34067, - [SMALL_STATE(438)] = 34162, - [SMALL_STATE(439)] = 34257, - [SMALL_STATE(440)] = 34352, - [SMALL_STATE(441)] = 34447, - [SMALL_STATE(442)] = 34542, - [SMALL_STATE(443)] = 34637, - [SMALL_STATE(444)] = 34732, - [SMALL_STATE(445)] = 34827, - [SMALL_STATE(446)] = 34922, - [SMALL_STATE(447)] = 35017, - [SMALL_STATE(448)] = 35112, - [SMALL_STATE(449)] = 35207, - [SMALL_STATE(450)] = 35302, - [SMALL_STATE(451)] = 35356, - [SMALL_STATE(452)] = 35410, - [SMALL_STATE(453)] = 35464, - [SMALL_STATE(454)] = 35516, - [SMALL_STATE(455)] = 35568, - [SMALL_STATE(456)] = 35622, - [SMALL_STATE(457)] = 35678, - [SMALL_STATE(458)] = 35729, - [SMALL_STATE(459)] = 35780, - [SMALL_STATE(460)] = 35831, - [SMALL_STATE(461)] = 35908, - [SMALL_STATE(462)] = 35959, - [SMALL_STATE(463)] = 36010, - [SMALL_STATE(464)] = 36061, - [SMALL_STATE(465)] = 36112, - [SMALL_STATE(466)] = 36163, - [SMALL_STATE(467)] = 36214, - [SMALL_STATE(468)] = 36301, - [SMALL_STATE(469)] = 36352, - [SMALL_STATE(470)] = 36403, - [SMALL_STATE(471)] = 36454, - [SMALL_STATE(472)] = 36505, - [SMALL_STATE(473)] = 36558, - [SMALL_STATE(474)] = 36609, - [SMALL_STATE(475)] = 36662, - [SMALL_STATE(476)] = 36715, - [SMALL_STATE(477)] = 36768, - [SMALL_STATE(478)] = 36821, - [SMALL_STATE(479)] = 36872, - [SMALL_STATE(480)] = 36923, - [SMALL_STATE(481)] = 36984, - [SMALL_STATE(482)] = 37035, - [SMALL_STATE(483)] = 37100, - [SMALL_STATE(484)] = 37167, - [SMALL_STATE(485)] = 37224, - [SMALL_STATE(486)] = 37275, - [SMALL_STATE(487)] = 37328, - [SMALL_STATE(488)] = 37379, - [SMALL_STATE(489)] = 37430, - [SMALL_STATE(490)] = 37481, - [SMALL_STATE(491)] = 37532, - [SMALL_STATE(492)] = 37583, - [SMALL_STATE(493)] = 37634, - [SMALL_STATE(494)] = 37685, - [SMALL_STATE(495)] = 37736, - [SMALL_STATE(496)] = 37809, - [SMALL_STATE(497)] = 37862, - [SMALL_STATE(498)] = 37913, - [SMALL_STATE(499)] = 37963, - [SMALL_STATE(500)] = 38013, - [SMALL_STATE(501)] = 38063, - [SMALL_STATE(502)] = 38123, - [SMALL_STATE(503)] = 38173, - [SMALL_STATE(504)] = 38223, - [SMALL_STATE(505)] = 38273, - [SMALL_STATE(506)] = 38323, - [SMALL_STATE(507)] = 38373, - [SMALL_STATE(508)] = 38445, - [SMALL_STATE(509)] = 38495, - [SMALL_STATE(510)] = 38545, - [SMALL_STATE(511)] = 38597, - [SMALL_STATE(512)] = 38647, - [SMALL_STATE(513)] = 38697, - [SMALL_STATE(514)] = 38783, - [SMALL_STATE(515)] = 38833, - [SMALL_STATE(516)] = 38883, - [SMALL_STATE(517)] = 38947, - [SMALL_STATE(518)] = 39013, - [SMALL_STATE(519)] = 39063, - [SMALL_STATE(520)] = 39113, - [SMALL_STATE(521)] = 39175, - [SMALL_STATE(522)] = 39225, - [SMALL_STATE(523)] = 39277, - [SMALL_STATE(524)] = 39327, - [SMALL_STATE(525)] = 39379, - [SMALL_STATE(526)] = 39431, - [SMALL_STATE(527)] = 39481, - [SMALL_STATE(528)] = 39531, - [SMALL_STATE(529)] = 39581, - [SMALL_STATE(530)] = 39631, - [SMALL_STATE(531)] = 39681, - [SMALL_STATE(532)] = 39753, - [SMALL_STATE(533)] = 39805, - [SMALL_STATE(534)] = 39857, - [SMALL_STATE(535)] = 39909, - [SMALL_STATE(536)] = 39965, - [SMALL_STATE(537)] = 40015, - [SMALL_STATE(538)] = 40067, - [SMALL_STATE(539)] = 40119, - [SMALL_STATE(540)] = 40169, - [SMALL_STATE(541)] = 40219, - [SMALL_STATE(542)] = 40269, - [SMALL_STATE(543)] = 40341, - [SMALL_STATE(544)] = 40413, - [SMALL_STATE(545)] = 40463, - [SMALL_STATE(546)] = 40539, - [SMALL_STATE(547)] = 40589, - [SMALL_STATE(548)] = 40639, - [SMALL_STATE(549)] = 40691, - [SMALL_STATE(550)] = 40741, - [SMALL_STATE(551)] = 40791, - [SMALL_STATE(552)] = 40841, - [SMALL_STATE(553)] = 40891, - [SMALL_STATE(554)] = 40963, - [SMALL_STATE(555)] = 41013, - [SMALL_STATE(556)] = 41063, - [SMALL_STATE(557)] = 41112, - [SMALL_STATE(558)] = 41171, - [SMALL_STATE(559)] = 41220, - [SMALL_STATE(560)] = 41269, - [SMALL_STATE(561)] = 41318, - [SMALL_STATE(562)] = 41367, - [SMALL_STATE(563)] = 41416, - [SMALL_STATE(564)] = 41465, - [SMALL_STATE(565)] = 41514, - [SMALL_STATE(566)] = 41565, - [SMALL_STATE(567)] = 41614, - [SMALL_STATE(568)] = 41663, - [SMALL_STATE(569)] = 41718, - [SMALL_STATE(570)] = 41769, - [SMALL_STATE(571)] = 41834, - [SMALL_STATE(572)] = 41883, - [SMALL_STATE(573)] = 41946, - [SMALL_STATE(574)] = 41995, - [SMALL_STATE(575)] = 42044, - [SMALL_STATE(576)] = 42093, - [SMALL_STATE(577)] = 42142, - [SMALL_STATE(578)] = 42215, - [SMALL_STATE(579)] = 42266, - [SMALL_STATE(580)] = 42317, - [SMALL_STATE(581)] = 42366, - [SMALL_STATE(582)] = 42417, - [SMALL_STATE(583)] = 42466, - [SMALL_STATE(584)] = 42539, - [SMALL_STATE(585)] = 42588, - [SMALL_STATE(586)] = 42637, - [SMALL_STATE(587)] = 42686, - [SMALL_STATE(588)] = 42735, - [SMALL_STATE(589)] = 42806, - [SMALL_STATE(590)] = 42857, - [SMALL_STATE(591)] = 42906, - [SMALL_STATE(592)] = 42961, - [SMALL_STATE(593)] = 43026, - [SMALL_STATE(594)] = 43089, - [SMALL_STATE(595)] = 43138, - [SMALL_STATE(596)] = 43197, - [SMALL_STATE(597)] = 43246, - [SMALL_STATE(598)] = 43295, - [SMALL_STATE(599)] = 43344, - [SMALL_STATE(600)] = 43393, - [SMALL_STATE(601)] = 43442, - [SMALL_STATE(602)] = 43491, - [SMALL_STATE(603)] = 43540, - [SMALL_STATE(604)] = 43589, - [SMALL_STATE(605)] = 43638, - [SMALL_STATE(606)] = 43687, - [SMALL_STATE(607)] = 43736, - [SMALL_STATE(608)] = 43785, - [SMALL_STATE(609)] = 43834, - [SMALL_STATE(610)] = 43883, - [SMALL_STATE(611)] = 43932, - [SMALL_STATE(612)] = 43981, - [SMALL_STATE(613)] = 44030, - [SMALL_STATE(614)] = 44079, - [SMALL_STATE(615)] = 44128, - [SMALL_STATE(616)] = 44177, - [SMALL_STATE(617)] = 44226, - [SMALL_STATE(618)] = 44275, - [SMALL_STATE(619)] = 44324, - [SMALL_STATE(620)] = 44373, - [SMALL_STATE(621)] = 44422, - [SMALL_STATE(622)] = 44471, - [SMALL_STATE(623)] = 44520, - [SMALL_STATE(624)] = 44568, - [SMALL_STATE(625)] = 44616, - [SMALL_STATE(626)] = 44688, - [SMALL_STATE(627)] = 44758, - [SMALL_STATE(628)] = 44828, - [SMALL_STATE(629)] = 44876, - [SMALL_STATE(630)] = 44924, - [SMALL_STATE(631)] = 44982, - [SMALL_STATE(632)] = 45058, - [SMALL_STATE(633)] = 45128, - [SMALL_STATE(634)] = 45176, - [SMALL_STATE(635)] = 45228, - [SMALL_STATE(636)] = 45276, - [SMALL_STATE(637)] = 45328, - [SMALL_STATE(638)] = 45376, - [SMALL_STATE(639)] = 45438, - [SMALL_STATE(640)] = 45502, - [SMALL_STATE(641)] = 45574, - [SMALL_STATE(642)] = 45622, - [SMALL_STATE(643)] = 45676, - [SMALL_STATE(644)] = 45724, - [SMALL_STATE(645)] = 45774, - [SMALL_STATE(646)] = 45834, - [SMALL_STATE(647)] = 45904, - [SMALL_STATE(648)] = 45952, - [SMALL_STATE(649)] = 46000, - [SMALL_STATE(650)] = 46048, - [SMALL_STATE(651)] = 46096, - [SMALL_STATE(652)] = 46144, - [SMALL_STATE(653)] = 46192, - [SMALL_STATE(654)] = 46240, - [SMALL_STATE(655)] = 46288, - [SMALL_STATE(656)] = 46336, - [SMALL_STATE(657)] = 46384, - [SMALL_STATE(658)] = 46432, - [SMALL_STATE(659)] = 46479, - [SMALL_STATE(660)] = 46530, - [SMALL_STATE(661)] = 46577, - [SMALL_STATE(662)] = 46650, - [SMALL_STATE(663)] = 46739, - [SMALL_STATE(664)] = 46786, - [SMALL_STATE(665)] = 46859, - [SMALL_STATE(666)] = 46943, - [SMALL_STATE(667)] = 46993, - [SMALL_STATE(668)] = 47043, - [SMALL_STATE(669)] = 47100, - [SMALL_STATE(670)] = 47157, - [SMALL_STATE(671)] = 47204, - [SMALL_STATE(672)] = 47253, - [SMALL_STATE(673)] = 47298, - [SMALL_STATE(674)] = 47345, - [SMALL_STATE(675)] = 47389, - [SMALL_STATE(676)] = 47433, - [SMALL_STATE(677)] = 47477, - [SMALL_STATE(678)] = 47523, - [SMALL_STATE(679)] = 47567, - [SMALL_STATE(680)] = 47617, - [SMALL_STATE(681)] = 47661, - [SMALL_STATE(682)] = 47705, - [SMALL_STATE(683)] = 47749, - [SMALL_STATE(684)] = 47793, - [SMALL_STATE(685)] = 47837, - [SMALL_STATE(686)] = 47881, - [SMALL_STATE(687)] = 47925, - [SMALL_STATE(688)] = 47969, - [SMALL_STATE(689)] = 48041, - [SMALL_STATE(690)] = 48085, - [SMALL_STATE(691)] = 48157, - [SMALL_STATE(692)] = 48203, - [SMALL_STATE(693)] = 48249, - [SMALL_STATE(694)] = 48295, - [SMALL_STATE(695)] = 48342, - [SMALL_STATE(696)] = 48385, - [SMALL_STATE(697)] = 48428, - [SMALL_STATE(698)] = 48471, - [SMALL_STATE(699)] = 48514, - [SMALL_STATE(700)] = 48579, - [SMALL_STATE(701)] = 48622, - [SMALL_STATE(702)] = 48669, - [SMALL_STATE(703)] = 48712, - [SMALL_STATE(704)] = 48755, - [SMALL_STATE(705)] = 48802, - [SMALL_STATE(706)] = 48849, - [SMALL_STATE(707)] = 48914, - [SMALL_STATE(708)] = 48957, - [SMALL_STATE(709)] = 49000, - [SMALL_STATE(710)] = 49043, - [SMALL_STATE(711)] = 49086, - [SMALL_STATE(712)] = 49131, - [SMALL_STATE(713)] = 49196, - [SMALL_STATE(714)] = 49239, - [SMALL_STATE(715)] = 49288, - [SMALL_STATE(716)] = 49335, - [SMALL_STATE(717)] = 49394, - [SMALL_STATE(718)] = 49437, - [SMALL_STATE(719)] = 49494, - [SMALL_STATE(720)] = 49537, - [SMALL_STATE(721)] = 49590, - [SMALL_STATE(722)] = 49633, - [SMALL_STATE(723)] = 49680, - [SMALL_STATE(724)] = 49723, - [SMALL_STATE(725)] = 49770, - [SMALL_STATE(726)] = 49817, - [SMALL_STATE(727)] = 49864, - [SMALL_STATE(728)] = 49907, - [SMALL_STATE(729)] = 49950, - [SMALL_STATE(730)] = 49993, - [SMALL_STATE(731)] = 50038, - [SMALL_STATE(732)] = 50081, - [SMALL_STATE(733)] = 50128, - [SMALL_STATE(734)] = 50172, - [SMALL_STATE(735)] = 50214, - [SMALL_STATE(736)] = 50260, - [SMALL_STATE(737)] = 50302, - [SMALL_STATE(738)] = 50344, - [SMALL_STATE(739)] = 50386, - [SMALL_STATE(740)] = 50432, - [SMALL_STATE(741)] = 50474, - [SMALL_STATE(742)] = 50516, - [SMALL_STATE(743)] = 50560, - [SMALL_STATE(744)] = 50602, - [SMALL_STATE(745)] = 50644, - [SMALL_STATE(746)] = 50686, - [SMALL_STATE(747)] = 50728, - [SMALL_STATE(748)] = 50772, - [SMALL_STATE(749)] = 50816, - [SMALL_STATE(750)] = 50857, - [SMALL_STATE(751)] = 50912, - [SMALL_STATE(752)] = 50953, - [SMALL_STATE(753)] = 50994, - [SMALL_STATE(754)] = 51035, - [SMALL_STATE(755)] = 51076, - [SMALL_STATE(756)] = 51117, - [SMALL_STATE(757)] = 51158, - [SMALL_STATE(758)] = 51199, - [SMALL_STATE(759)] = 51240, - [SMALL_STATE(760)] = 51281, - [SMALL_STATE(761)] = 51322, - [SMALL_STATE(762)] = 51363, - [SMALL_STATE(763)] = 51404, - [SMALL_STATE(764)] = 51445, - [SMALL_STATE(765)] = 51496, - [SMALL_STATE(766)] = 51537, - [SMALL_STATE(767)] = 51602, - [SMALL_STATE(768)] = 51659, - [SMALL_STATE(769)] = 51706, - [SMALL_STATE(770)] = 51747, - [SMALL_STATE(771)] = 51788, - [SMALL_STATE(772)] = 51831, - [SMALL_STATE(773)] = 51872, - [SMALL_STATE(774)] = 51913, - [SMALL_STATE(775)] = 51954, - [SMALL_STATE(776)] = 52008, - [SMALL_STATE(777)] = 52048, - [SMALL_STATE(778)] = 52088, - [SMALL_STATE(779)] = 52130, - [SMALL_STATE(780)] = 52184, - [SMALL_STATE(781)] = 52238, - [SMALL_STATE(782)] = 52278, - [SMALL_STATE(783)] = 52320, - [SMALL_STATE(784)] = 52374, - [SMALL_STATE(785)] = 52428, - [SMALL_STATE(786)] = 52469, - [SMALL_STATE(787)] = 52508, - [SMALL_STATE(788)] = 52547, - [SMALL_STATE(789)] = 52588, - [SMALL_STATE(790)] = 52627, - [SMALL_STATE(791)] = 52666, - [SMALL_STATE(792)] = 52705, - [SMALL_STATE(793)] = 52746, - [SMALL_STATE(794)] = 52785, - [SMALL_STATE(795)] = 52824, - [SMALL_STATE(796)] = 52863, - [SMALL_STATE(797)] = 52902, - [SMALL_STATE(798)] = 52941, - [SMALL_STATE(799)] = 52980, - [SMALL_STATE(800)] = 53027, - [SMALL_STATE(801)] = 53068, - [SMALL_STATE(802)] = 53107, - [SMALL_STATE(803)] = 53146, - [SMALL_STATE(804)] = 53185, - [SMALL_STATE(805)] = 53226, - [SMALL_STATE(806)] = 53267, - [SMALL_STATE(807)] = 53306, - [SMALL_STATE(808)] = 53345, - [SMALL_STATE(809)] = 53386, - [SMALL_STATE(810)] = 53433, - [SMALL_STATE(811)] = 53474, - [SMALL_STATE(812)] = 53512, - [SMALL_STATE(813)] = 53550, - [SMALL_STATE(814)] = 53588, - [SMALL_STATE(815)] = 53626, - [SMALL_STATE(816)] = 53664, - [SMALL_STATE(817)] = 53702, - [SMALL_STATE(818)] = 53740, - [SMALL_STATE(819)] = 53778, - [SMALL_STATE(820)] = 53816, - [SMALL_STATE(821)] = 53854, - [SMALL_STATE(822)] = 53892, - [SMALL_STATE(823)] = 53930, - [SMALL_STATE(824)] = 53968, - [SMALL_STATE(825)] = 54006, - [SMALL_STATE(826)] = 54044, - [SMALL_STATE(827)] = 54092, - [SMALL_STATE(828)] = 54130, - [SMALL_STATE(829)] = 54182, - [SMALL_STATE(830)] = 54236, - [SMALL_STATE(831)] = 54280, - [SMALL_STATE(832)] = 54318, - [SMALL_STATE(833)] = 54356, - [SMALL_STATE(834)] = 54396, - [SMALL_STATE(835)] = 54434, - [SMALL_STATE(836)] = 54472, - [SMALL_STATE(837)] = 54536, - [SMALL_STATE(838)] = 54574, - [SMALL_STATE(839)] = 54612, - [SMALL_STATE(840)] = 54672, - [SMALL_STATE(841)] = 54710, - [SMALL_STATE(842)] = 54748, - [SMALL_STATE(843)] = 54786, - [SMALL_STATE(844)] = 54824, - [SMALL_STATE(845)] = 54884, - [SMALL_STATE(846)] = 54922, - [SMALL_STATE(847)] = 54960, - [SMALL_STATE(848)] = 54998, - [SMALL_STATE(849)] = 55038, - [SMALL_STATE(850)] = 55076, - [SMALL_STATE(851)] = 55120, - [SMALL_STATE(852)] = 55174, - [SMALL_STATE(853)] = 55226, - [SMALL_STATE(854)] = 55264, - [SMALL_STATE(855)] = 55312, - [SMALL_STATE(856)] = 55350, - [SMALL_STATE(857)] = 55410, - [SMALL_STATE(858)] = 55472, - [SMALL_STATE(859)] = 55510, - [SMALL_STATE(860)] = 55548, - [SMALL_STATE(861)] = 55586, - [SMALL_STATE(862)] = 55624, - [SMALL_STATE(863)] = 55662, - [SMALL_STATE(864)] = 55700, - [SMALL_STATE(865)] = 55738, - [SMALL_STATE(866)] = 55776, - [SMALL_STATE(867)] = 55814, - [SMALL_STATE(868)] = 55852, - [SMALL_STATE(869)] = 55890, - [SMALL_STATE(870)] = 55953, - [SMALL_STATE(871)] = 56016, - [SMALL_STATE(872)] = 56079, - [SMALL_STATE(873)] = 56142, - [SMALL_STATE(874)] = 56179, - [SMALL_STATE(875)] = 56242, - [SMALL_STATE(876)] = 56305, - [SMALL_STATE(877)] = 56368, - [SMALL_STATE(878)] = 56431, - [SMALL_STATE(879)] = 56494, - [SMALL_STATE(880)] = 56557, - [SMALL_STATE(881)] = 56620, - [SMALL_STATE(882)] = 56661, - [SMALL_STATE(883)] = 56724, - [SMALL_STATE(884)] = 56787, - [SMALL_STATE(885)] = 56850, - [SMALL_STATE(886)] = 56887, - [SMALL_STATE(887)] = 56950, - [SMALL_STATE(888)] = 57013, - [SMALL_STATE(889)] = 57076, - [SMALL_STATE(890)] = 57113, - [SMALL_STATE(891)] = 57176, - [SMALL_STATE(892)] = 57239, - [SMALL_STATE(893)] = 57276, - [SMALL_STATE(894)] = 57339, - [SMALL_STATE(895)] = 57402, - [SMALL_STATE(896)] = 57465, - [SMALL_STATE(897)] = 57502, - [SMALL_STATE(898)] = 57565, - [SMALL_STATE(899)] = 57624, - [SMALL_STATE(900)] = 57687, - [SMALL_STATE(901)] = 57750, - [SMALL_STATE(902)] = 57813, - [SMALL_STATE(903)] = 57850, - [SMALL_STATE(904)] = 57909, - [SMALL_STATE(905)] = 57972, - [SMALL_STATE(906)] = 58009, - [SMALL_STATE(907)] = 58072, - [SMALL_STATE(908)] = 58107, - [SMALL_STATE(909)] = 58163, - [SMALL_STATE(910)] = 58219, - [SMALL_STATE(911)] = 58277, - [SMALL_STATE(912)] = 58341, - [SMALL_STATE(913)] = 58399, - [SMALL_STATE(914)] = 58455, - [SMALL_STATE(915)] = 58513, - [SMALL_STATE(916)] = 58571, - [SMALL_STATE(917)] = 58629, - [SMALL_STATE(918)] = 58667, - [SMALL_STATE(919)] = 58724, - [SMALL_STATE(920)] = 58781, - [SMALL_STATE(921)] = 58838, - [SMALL_STATE(922)] = 58895, - [SMALL_STATE(923)] = 58952, - [SMALL_STATE(924)] = 59009, - [SMALL_STATE(925)] = 59066, - [SMALL_STATE(926)] = 59123, - [SMALL_STATE(927)] = 59180, - [SMALL_STATE(928)] = 59237, - [SMALL_STATE(929)] = 59294, - [SMALL_STATE(930)] = 59351, - [SMALL_STATE(931)] = 59408, - [SMALL_STATE(932)] = 59465, - [SMALL_STATE(933)] = 59522, - [SMALL_STATE(934)] = 59579, - [SMALL_STATE(935)] = 59636, - [SMALL_STATE(936)] = 59697, - [SMALL_STATE(937)] = 59754, - [SMALL_STATE(938)] = 59815, - [SMALL_STATE(939)] = 59872, - [SMALL_STATE(940)] = 59929, - [SMALL_STATE(941)] = 59986, - [SMALL_STATE(942)] = 60043, - [SMALL_STATE(943)] = 60100, - [SMALL_STATE(944)] = 60157, - [SMALL_STATE(945)] = 60214, - [SMALL_STATE(946)] = 60271, - [SMALL_STATE(947)] = 60328, - [SMALL_STATE(948)] = 60360, - [SMALL_STATE(949)] = 60392, - [SMALL_STATE(950)] = 60424, - [SMALL_STATE(951)] = 60456, - [SMALL_STATE(952)] = 60488, - [SMALL_STATE(953)] = 60520, - [SMALL_STATE(954)] = 60552, - [SMALL_STATE(955)] = 60584, - [SMALL_STATE(956)] = 60616, - [SMALL_STATE(957)] = 60648, - [SMALL_STATE(958)] = 60679, - [SMALL_STATE(959)] = 60714, - [SMALL_STATE(960)] = 60749, - [SMALL_STATE(961)] = 60780, - [SMALL_STATE(962)] = 60815, - [SMALL_STATE(963)] = 60856, - [SMALL_STATE(964)] = 60887, - [SMALL_STATE(965)] = 60921, - [SMALL_STATE(966)] = 60955, - [SMALL_STATE(967)] = 60985, - [SMALL_STATE(968)] = 61019, - [SMALL_STATE(969)] = 61063, - [SMALL_STATE(970)] = 61103, - [SMALL_STATE(971)] = 61140, - [SMALL_STATE(972)] = 61181, - [SMALL_STATE(973)] = 61222, - [SMALL_STATE(974)] = 61263, - [SMALL_STATE(975)] = 61300, - [SMALL_STATE(976)] = 61337, - [SMALL_STATE(977)] = 61374, - [SMALL_STATE(978)] = 61415, - [SMALL_STATE(979)] = 61463, - [SMALL_STATE(980)] = 61501, - [SMALL_STATE(981)] = 61533, - [SMALL_STATE(982)] = 61571, - [SMALL_STATE(983)] = 61609, - [SMALL_STATE(984)] = 61647, - [SMALL_STATE(985)] = 61685, - [SMALL_STATE(986)] = 61739, - [SMALL_STATE(987)] = 61787, - [SMALL_STATE(988)] = 61825, - [SMALL_STATE(989)] = 61863, - [SMALL_STATE(990)] = 61895, - [SMALL_STATE(991)] = 61933, - [SMALL_STATE(992)] = 61987, - [SMALL_STATE(993)] = 62025, - [SMALL_STATE(994)] = 62063, - [SMALL_STATE(995)] = 62101, - [SMALL_STATE(996)] = 62139, - [SMALL_STATE(997)] = 62171, - [SMALL_STATE(998)] = 62209, - [SMALL_STATE(999)] = 62234, - [SMALL_STATE(1000)] = 62259, - [SMALL_STATE(1001)] = 62284, - [SMALL_STATE(1002)] = 62311, - [SMALL_STATE(1003)] = 62336, - [SMALL_STATE(1004)] = 62361, - [SMALL_STATE(1005)] = 62386, - [SMALL_STATE(1006)] = 62411, - [SMALL_STATE(1007)] = 62436, - [SMALL_STATE(1008)] = 62461, - [SMALL_STATE(1009)] = 62486, - [SMALL_STATE(1010)] = 62511, - [SMALL_STATE(1011)] = 62536, - [SMALL_STATE(1012)] = 62563, - [SMALL_STATE(1013)] = 62590, - [SMALL_STATE(1014)] = 62615, - [SMALL_STATE(1015)] = 62640, - [SMALL_STATE(1016)] = 62665, - [SMALL_STATE(1017)] = 62697, - [SMALL_STATE(1018)] = 62729, - [SMALL_STATE(1019)] = 62761, - [SMALL_STATE(1020)] = 62793, - [SMALL_STATE(1021)] = 62821, - [SMALL_STATE(1022)] = 62849, - [SMALL_STATE(1023)] = 62881, - [SMALL_STATE(1024)] = 62913, - [SMALL_STATE(1025)] = 62945, - [SMALL_STATE(1026)] = 62972, - [SMALL_STATE(1027)] = 62999, - [SMALL_STATE(1028)] = 63022, - [SMALL_STATE(1029)] = 63045, - [SMALL_STATE(1030)] = 63074, - [SMALL_STATE(1031)] = 63097, - [SMALL_STATE(1032)] = 63124, - [SMALL_STATE(1033)] = 63147, - [SMALL_STATE(1034)] = 63174, - [SMALL_STATE(1035)] = 63201, - [SMALL_STATE(1036)] = 63250, - [SMALL_STATE(1037)] = 63273, - [SMALL_STATE(1038)] = 63299, - [SMALL_STATE(1039)] = 63325, - [SMALL_STATE(1040)] = 63349, - [SMALL_STATE(1041)] = 63373, - [SMALL_STATE(1042)] = 63395, - [SMALL_STATE(1043)] = 63417, - [SMALL_STATE(1044)] = 63439, - [SMALL_STATE(1045)] = 63465, - [SMALL_STATE(1046)] = 63487, - [SMALL_STATE(1047)] = 63513, - [SMALL_STATE(1048)] = 63537, - [SMALL_STATE(1049)] = 63577, - [SMALL_STATE(1050)] = 63603, - [SMALL_STATE(1051)] = 63629, - [SMALL_STATE(1052)] = 63655, - [SMALL_STATE(1053)] = 63681, - [SMALL_STATE(1054)] = 63703, - [SMALL_STATE(1055)] = 63729, - [SMALL_STATE(1056)] = 63751, - [SMALL_STATE(1057)] = 63791, - [SMALL_STATE(1058)] = 63817, - [SMALL_STATE(1059)] = 63843, - [SMALL_STATE(1060)] = 63865, - [SMALL_STATE(1061)] = 63891, - [SMALL_STATE(1062)] = 63913, - [SMALL_STATE(1063)] = 63935, - [SMALL_STATE(1064)] = 63961, - [SMALL_STATE(1065)] = 63987, - [SMALL_STATE(1066)] = 64013, - [SMALL_STATE(1067)] = 64034, - [SMALL_STATE(1068)] = 64057, - [SMALL_STATE(1069)] = 64078, - [SMALL_STATE(1070)] = 64101, - [SMALL_STATE(1071)] = 64124, - [SMALL_STATE(1072)] = 64147, - [SMALL_STATE(1073)] = 64170, - [SMALL_STATE(1074)] = 64193, - [SMALL_STATE(1075)] = 64216, - [SMALL_STATE(1076)] = 64237, - [SMALL_STATE(1077)] = 64258, - [SMALL_STATE(1078)] = 64307, - [SMALL_STATE(1079)] = 64350, - [SMALL_STATE(1080)] = 64373, - [SMALL_STATE(1081)] = 64416, - [SMALL_STATE(1082)] = 64437, - [SMALL_STATE(1083)] = 64480, - [SMALL_STATE(1084)] = 64501, - [SMALL_STATE(1085)] = 64524, - [SMALL_STATE(1086)] = 64547, - [SMALL_STATE(1087)] = 64570, - [SMALL_STATE(1088)] = 64591, - [SMALL_STATE(1089)] = 64614, - [SMALL_STATE(1090)] = 64635, - [SMALL_STATE(1091)] = 64655, - [SMALL_STATE(1092)] = 64675, - [SMALL_STATE(1093)] = 64695, - [SMALL_STATE(1094)] = 64715, - [SMALL_STATE(1095)] = 64735, - [SMALL_STATE(1096)] = 64755, - [SMALL_STATE(1097)] = 64775, - [SMALL_STATE(1098)] = 64795, - [SMALL_STATE(1099)] = 64815, - [SMALL_STATE(1100)] = 64835, - [SMALL_STATE(1101)] = 64855, - [SMALL_STATE(1102)] = 64875, - [SMALL_STATE(1103)] = 64895, - [SMALL_STATE(1104)] = 64915, - [SMALL_STATE(1105)] = 64937, - [SMALL_STATE(1106)] = 64957, - [SMALL_STATE(1107)] = 64977, - [SMALL_STATE(1108)] = 64997, - [SMALL_STATE(1109)] = 65017, - [SMALL_STATE(1110)] = 65037, - [SMALL_STATE(1111)] = 65057, - [SMALL_STATE(1112)] = 65077, - [SMALL_STATE(1113)] = 65097, - [SMALL_STATE(1114)] = 65117, - [SMALL_STATE(1115)] = 65137, - [SMALL_STATE(1116)] = 65157, - [SMALL_STATE(1117)] = 65177, - [SMALL_STATE(1118)] = 65197, - [SMALL_STATE(1119)] = 65217, - [SMALL_STATE(1120)] = 65237, - [SMALL_STATE(1121)] = 65273, - [SMALL_STATE(1122)] = 65293, - [SMALL_STATE(1123)] = 65313, - [SMALL_STATE(1124)] = 65333, - [SMALL_STATE(1125)] = 65353, - [SMALL_STATE(1126)] = 65373, - [SMALL_STATE(1127)] = 65393, - [SMALL_STATE(1128)] = 65413, - [SMALL_STATE(1129)] = 65433, - [SMALL_STATE(1130)] = 65453, - [SMALL_STATE(1131)] = 65473, - [SMALL_STATE(1132)] = 65493, - [SMALL_STATE(1133)] = 65529, - [SMALL_STATE(1134)] = 65549, - [SMALL_STATE(1135)] = 65569, - [SMALL_STATE(1136)] = 65589, - [SMALL_STATE(1137)] = 65609, - [SMALL_STATE(1138)] = 65629, - [SMALL_STATE(1139)] = 65649, - [SMALL_STATE(1140)] = 65669, - [SMALL_STATE(1141)] = 65689, - [SMALL_STATE(1142)] = 65709, - [SMALL_STATE(1143)] = 65729, - [SMALL_STATE(1144)] = 65749, - [SMALL_STATE(1145)] = 65769, - [SMALL_STATE(1146)] = 65789, - [SMALL_STATE(1147)] = 65809, - [SMALL_STATE(1148)] = 65829, - [SMALL_STATE(1149)] = 65849, - [SMALL_STATE(1150)] = 65869, - [SMALL_STATE(1151)] = 65889, - [SMALL_STATE(1152)] = 65926, - [SMALL_STATE(1153)] = 65961, - [SMALL_STATE(1154)] = 65998, - [SMALL_STATE(1155)] = 66017, - [SMALL_STATE(1156)] = 66045, - [SMALL_STATE(1157)] = 66067, - [SMALL_STATE(1158)] = 66089, - [SMALL_STATE(1159)] = 66111, - [SMALL_STATE(1160)] = 66145, - [SMALL_STATE(1161)] = 66166, - [SMALL_STATE(1162)] = 66201, - [SMALL_STATE(1163)] = 66220, - [SMALL_STATE(1164)] = 66249, - [SMALL_STATE(1165)] = 66282, - [SMALL_STATE(1166)] = 66311, - [SMALL_STATE(1167)] = 66342, - [SMALL_STATE(1168)] = 66371, - [SMALL_STATE(1169)] = 66402, - [SMALL_STATE(1170)] = 66421, - [SMALL_STATE(1171)] = 66452, - [SMALL_STATE(1172)] = 66477, - [SMALL_STATE(1173)] = 66498, - [SMALL_STATE(1174)] = 66519, - [SMALL_STATE(1175)] = 66547, - [SMALL_STATE(1176)] = 66569, - [SMALL_STATE(1177)] = 66597, - [SMALL_STATE(1178)] = 66625, - [SMALL_STATE(1179)] = 66653, - [SMALL_STATE(1180)] = 66681, - [SMALL_STATE(1181)] = 66709, - [SMALL_STATE(1182)] = 66739, - [SMALL_STATE(1183)] = 66767, - [SMALL_STATE(1184)] = 66795, - [SMALL_STATE(1185)] = 66823, - [SMALL_STATE(1186)] = 66851, - [SMALL_STATE(1187)] = 66879, - [SMALL_STATE(1188)] = 66907, - [SMALL_STATE(1189)] = 66935, - [SMALL_STATE(1190)] = 66961, - [SMALL_STATE(1191)] = 66983, - [SMALL_STATE(1192)] = 67009, - [SMALL_STATE(1193)] = 67037, - [SMALL_STATE(1194)] = 67065, - [SMALL_STATE(1195)] = 67093, - [SMALL_STATE(1196)] = 67121, - [SMALL_STATE(1197)] = 67149, - [SMALL_STATE(1198)] = 67174, - [SMALL_STATE(1199)] = 67199, - [SMALL_STATE(1200)] = 67224, - [SMALL_STATE(1201)] = 67249, - [SMALL_STATE(1202)] = 67274, - [SMALL_STATE(1203)] = 67299, - [SMALL_STATE(1204)] = 67324, - [SMALL_STATE(1205)] = 67349, - [SMALL_STATE(1206)] = 67374, - [SMALL_STATE(1207)] = 67389, - [SMALL_STATE(1208)] = 67414, - [SMALL_STATE(1209)] = 67439, - [SMALL_STATE(1210)] = 67468, - [SMALL_STATE(1211)] = 67493, - [SMALL_STATE(1212)] = 67518, - [SMALL_STATE(1213)] = 67538, - [SMALL_STATE(1214)] = 67564, - [SMALL_STATE(1215)] = 67590, - [SMALL_STATE(1216)] = 67616, - [SMALL_STATE(1217)] = 67638, - [SMALL_STATE(1218)] = 67660, - [SMALL_STATE(1219)] = 67682, - [SMALL_STATE(1220)] = 67708, - [SMALL_STATE(1221)] = 67734, - [SMALL_STATE(1222)] = 67756, - [SMALL_STATE(1223)] = 67782, - [SMALL_STATE(1224)] = 67808, - [SMALL_STATE(1225)] = 67834, - [SMALL_STATE(1226)] = 67860, - [SMALL_STATE(1227)] = 67886, - [SMALL_STATE(1228)] = 67908, - [SMALL_STATE(1229)] = 67928, - [SMALL_STATE(1230)] = 67954, - [SMALL_STATE(1231)] = 67976, - [SMALL_STATE(1232)] = 68002, - [SMALL_STATE(1233)] = 68022, - [SMALL_STATE(1234)] = 68048, - [SMALL_STATE(1235)] = 68074, - [SMALL_STATE(1236)] = 68100, - [SMALL_STATE(1237)] = 68122, - [SMALL_STATE(1238)] = 68144, - [SMALL_STATE(1239)] = 68170, - [SMALL_STATE(1240)] = 68196, - [SMALL_STATE(1241)] = 68218, - [SMALL_STATE(1242)] = 68240, - [SMALL_STATE(1243)] = 68262, - [SMALL_STATE(1244)] = 68284, - [SMALL_STATE(1245)] = 68310, - [SMALL_STATE(1246)] = 68332, - [SMALL_STATE(1247)] = 68357, - [SMALL_STATE(1248)] = 68380, - [SMALL_STATE(1249)] = 68405, - [SMALL_STATE(1250)] = 68428, - [SMALL_STATE(1251)] = 68453, - [SMALL_STATE(1252)] = 68476, - [SMALL_STATE(1253)] = 68499, - [SMALL_STATE(1254)] = 68522, - [SMALL_STATE(1255)] = 68537, - [SMALL_STATE(1256)] = 68560, - [SMALL_STATE(1257)] = 68583, - [SMALL_STATE(1258)] = 68606, - [SMALL_STATE(1259)] = 68629, - [SMALL_STATE(1260)] = 68652, - [SMALL_STATE(1261)] = 68673, - [SMALL_STATE(1262)] = 68698, - [SMALL_STATE(1263)] = 68721, - [SMALL_STATE(1264)] = 68744, - [SMALL_STATE(1265)] = 68769, - [SMALL_STATE(1266)] = 68792, - [SMALL_STATE(1267)] = 68815, - [SMALL_STATE(1268)] = 68836, - [SMALL_STATE(1269)] = 68861, - [SMALL_STATE(1270)] = 68884, - [SMALL_STATE(1271)] = 68907, - [SMALL_STATE(1272)] = 68928, - [SMALL_STATE(1273)] = 68951, - [SMALL_STATE(1274)] = 68974, - [SMALL_STATE(1275)] = 68997, - [SMALL_STATE(1276)] = 69020, - [SMALL_STATE(1277)] = 69043, - [SMALL_STATE(1278)] = 69066, - [SMALL_STATE(1279)] = 69087, - [SMALL_STATE(1280)] = 69110, - [SMALL_STATE(1281)] = 69133, - [SMALL_STATE(1282)] = 69156, - [SMALL_STATE(1283)] = 69179, - [SMALL_STATE(1284)] = 69194, - [SMALL_STATE(1285)] = 69217, - [SMALL_STATE(1286)] = 69240, - [SMALL_STATE(1287)] = 69263, - [SMALL_STATE(1288)] = 69286, - [SMALL_STATE(1289)] = 69309, - [SMALL_STATE(1290)] = 69332, - [SMALL_STATE(1291)] = 69355, - [SMALL_STATE(1292)] = 69380, - [SMALL_STATE(1293)] = 69403, - [SMALL_STATE(1294)] = 69426, - [SMALL_STATE(1295)] = 69449, - [SMALL_STATE(1296)] = 69472, - [SMALL_STATE(1297)] = 69495, - [SMALL_STATE(1298)] = 69510, - [SMALL_STATE(1299)] = 69533, - [SMALL_STATE(1300)] = 69555, - [SMALL_STATE(1301)] = 69577, - [SMALL_STATE(1302)] = 69599, - [SMALL_STATE(1303)] = 69618, - [SMALL_STATE(1304)] = 69637, - [SMALL_STATE(1305)] = 69656, - [SMALL_STATE(1306)] = 69671, - [SMALL_STATE(1307)] = 69690, - [SMALL_STATE(1308)] = 69709, - [SMALL_STATE(1309)] = 69728, - [SMALL_STATE(1310)] = 69739, - [SMALL_STATE(1311)] = 69758, - [SMALL_STATE(1312)] = 69777, - [SMALL_STATE(1313)] = 69790, - [SMALL_STATE(1314)] = 69809, - [SMALL_STATE(1315)] = 69826, - [SMALL_STATE(1316)] = 69845, - [SMALL_STATE(1317)] = 69864, - [SMALL_STATE(1318)] = 69883, - [SMALL_STATE(1319)] = 69902, - [SMALL_STATE(1320)] = 69921, - [SMALL_STATE(1321)] = 69940, - [SMALL_STATE(1322)] = 69959, - [SMALL_STATE(1323)] = 69978, - [SMALL_STATE(1324)] = 69997, - [SMALL_STATE(1325)] = 70016, - [SMALL_STATE(1326)] = 70035, - [SMALL_STATE(1327)] = 70054, - [SMALL_STATE(1328)] = 70073, - [SMALL_STATE(1329)] = 70092, - [SMALL_STATE(1330)] = 70111, - [SMALL_STATE(1331)] = 70130, - [SMALL_STATE(1332)] = 70145, - [SMALL_STATE(1333)] = 70164, - [SMALL_STATE(1334)] = 70183, - [SMALL_STATE(1335)] = 70202, - [SMALL_STATE(1336)] = 70221, - [SMALL_STATE(1337)] = 70240, - [SMALL_STATE(1338)] = 70259, - [SMALL_STATE(1339)] = 70274, - [SMALL_STATE(1340)] = 70289, - [SMALL_STATE(1341)] = 70308, - [SMALL_STATE(1342)] = 70327, - [SMALL_STATE(1343)] = 70346, - [SMALL_STATE(1344)] = 70361, - [SMALL_STATE(1345)] = 70380, - [SMALL_STATE(1346)] = 70399, - [SMALL_STATE(1347)] = 70418, - [SMALL_STATE(1348)] = 70437, - [SMALL_STATE(1349)] = 70456, - [SMALL_STATE(1350)] = 70471, - [SMALL_STATE(1351)] = 70483, - [SMALL_STATE(1352)] = 70499, - [SMALL_STATE(1353)] = 70513, - [SMALL_STATE(1354)] = 70523, - [SMALL_STATE(1355)] = 70539, - [SMALL_STATE(1356)] = 70551, - [SMALL_STATE(1357)] = 70561, - [SMALL_STATE(1358)] = 70577, - [SMALL_STATE(1359)] = 70587, - [SMALL_STATE(1360)] = 70601, - [SMALL_STATE(1361)] = 70615, - [SMALL_STATE(1362)] = 70629, - [SMALL_STATE(1363)] = 70640, - [SMALL_STATE(1364)] = 70653, - [SMALL_STATE(1365)] = 70666, - [SMALL_STATE(1366)] = 70679, - [SMALL_STATE(1367)] = 70692, - [SMALL_STATE(1368)] = 70705, - [SMALL_STATE(1369)] = 70718, - [SMALL_STATE(1370)] = 70731, - [SMALL_STATE(1371)] = 70744, - [SMALL_STATE(1372)] = 70757, - [SMALL_STATE(1373)] = 70770, - [SMALL_STATE(1374)] = 70783, - [SMALL_STATE(1375)] = 70796, - [SMALL_STATE(1376)] = 70805, - [SMALL_STATE(1377)] = 70818, - [SMALL_STATE(1378)] = 70831, - [SMALL_STATE(1379)] = 70844, - [SMALL_STATE(1380)] = 70857, - [SMALL_STATE(1381)] = 70870, - [SMALL_STATE(1382)] = 70883, - [SMALL_STATE(1383)] = 70896, - [SMALL_STATE(1384)] = 70909, - [SMALL_STATE(1385)] = 70922, - [SMALL_STATE(1386)] = 70935, - [SMALL_STATE(1387)] = 70948, - [SMALL_STATE(1388)] = 70961, - [SMALL_STATE(1389)] = 70972, - [SMALL_STATE(1390)] = 70985, - [SMALL_STATE(1391)] = 70998, - [SMALL_STATE(1392)] = 71011, - [SMALL_STATE(1393)] = 71024, - [SMALL_STATE(1394)] = 71037, - [SMALL_STATE(1395)] = 71048, - [SMALL_STATE(1396)] = 71059, - [SMALL_STATE(1397)] = 71072, - [SMALL_STATE(1398)] = 71085, - [SMALL_STATE(1399)] = 71098, - [SMALL_STATE(1400)] = 71111, - [SMALL_STATE(1401)] = 71124, - [SMALL_STATE(1402)] = 71137, - [SMALL_STATE(1403)] = 71150, - [SMALL_STATE(1404)] = 71163, - [SMALL_STATE(1405)] = 71176, - [SMALL_STATE(1406)] = 71189, - [SMALL_STATE(1407)] = 71202, - [SMALL_STATE(1408)] = 71215, - [SMALL_STATE(1409)] = 71228, - [SMALL_STATE(1410)] = 71241, - [SMALL_STATE(1411)] = 71254, - [SMALL_STATE(1412)] = 71267, - [SMALL_STATE(1413)] = 71280, - [SMALL_STATE(1414)] = 71293, - [SMALL_STATE(1415)] = 71306, - [SMALL_STATE(1416)] = 71319, - [SMALL_STATE(1417)] = 71332, - [SMALL_STATE(1418)] = 71345, - [SMALL_STATE(1419)] = 71358, - [SMALL_STATE(1420)] = 71371, - [SMALL_STATE(1421)] = 71382, - [SMALL_STATE(1422)] = 71395, - [SMALL_STATE(1423)] = 71408, - [SMALL_STATE(1424)] = 71421, - [SMALL_STATE(1425)] = 71434, - [SMALL_STATE(1426)] = 71447, - [SMALL_STATE(1427)] = 71460, - [SMALL_STATE(1428)] = 71473, - [SMALL_STATE(1429)] = 71486, - [SMALL_STATE(1430)] = 71499, - [SMALL_STATE(1431)] = 71512, - [SMALL_STATE(1432)] = 71525, - [SMALL_STATE(1433)] = 71538, - [SMALL_STATE(1434)] = 71551, - [SMALL_STATE(1435)] = 71564, - [SMALL_STATE(1436)] = 71577, - [SMALL_STATE(1437)] = 71590, - [SMALL_STATE(1438)] = 71603, - [SMALL_STATE(1439)] = 71616, - [SMALL_STATE(1440)] = 71629, - [SMALL_STATE(1441)] = 71642, - [SMALL_STATE(1442)] = 71655, - [SMALL_STATE(1443)] = 71668, - [SMALL_STATE(1444)] = 71681, - [SMALL_STATE(1445)] = 71694, - [SMALL_STATE(1446)] = 71707, - [SMALL_STATE(1447)] = 71720, - [SMALL_STATE(1448)] = 71733, - [SMALL_STATE(1449)] = 71746, - [SMALL_STATE(1450)] = 71759, - [SMALL_STATE(1451)] = 71772, - [SMALL_STATE(1452)] = 71785, - [SMALL_STATE(1453)] = 71798, - [SMALL_STATE(1454)] = 71811, - [SMALL_STATE(1455)] = 71824, - [SMALL_STATE(1456)] = 71837, - [SMALL_STATE(1457)] = 71850, - [SMALL_STATE(1458)] = 71863, - [SMALL_STATE(1459)] = 71876, - [SMALL_STATE(1460)] = 71889, - [SMALL_STATE(1461)] = 71902, - [SMALL_STATE(1462)] = 71915, - [SMALL_STATE(1463)] = 71925, - [SMALL_STATE(1464)] = 71935, - [SMALL_STATE(1465)] = 71945, - [SMALL_STATE(1466)] = 71955, - [SMALL_STATE(1467)] = 71965, - [SMALL_STATE(1468)] = 71973, - [SMALL_STATE(1469)] = 71983, - [SMALL_STATE(1470)] = 71993, - [SMALL_STATE(1471)] = 72003, - [SMALL_STATE(1472)] = 72011, - [SMALL_STATE(1473)] = 72019, - [SMALL_STATE(1474)] = 72029, - [SMALL_STATE(1475)] = 72037, - [SMALL_STATE(1476)] = 72047, - [SMALL_STATE(1477)] = 72057, - [SMALL_STATE(1478)] = 72067, - [SMALL_STATE(1479)] = 72077, - [SMALL_STATE(1480)] = 72087, - [SMALL_STATE(1481)] = 72097, - [SMALL_STATE(1482)] = 72107, - [SMALL_STATE(1483)] = 72117, - [SMALL_STATE(1484)] = 72125, - [SMALL_STATE(1485)] = 72135, - [SMALL_STATE(1486)] = 72145, - [SMALL_STATE(1487)] = 72155, - [SMALL_STATE(1488)] = 72165, - [SMALL_STATE(1489)] = 72175, - [SMALL_STATE(1490)] = 72185, - [SMALL_STATE(1491)] = 72195, - [SMALL_STATE(1492)] = 72203, - [SMALL_STATE(1493)] = 72213, - [SMALL_STATE(1494)] = 72223, - [SMALL_STATE(1495)] = 72233, - [SMALL_STATE(1496)] = 72243, - [SMALL_STATE(1497)] = 72253, - [SMALL_STATE(1498)] = 72261, - [SMALL_STATE(1499)] = 72271, - [SMALL_STATE(1500)] = 72281, - [SMALL_STATE(1501)] = 72291, - [SMALL_STATE(1502)] = 72301, - [SMALL_STATE(1503)] = 72309, - [SMALL_STATE(1504)] = 72317, - [SMALL_STATE(1505)] = 72325, - [SMALL_STATE(1506)] = 72333, - [SMALL_STATE(1507)] = 72341, - [SMALL_STATE(1508)] = 72349, - [SMALL_STATE(1509)] = 72357, - [SMALL_STATE(1510)] = 72367, - [SMALL_STATE(1511)] = 72377, - [SMALL_STATE(1512)] = 72387, - [SMALL_STATE(1513)] = 72397, - [SMALL_STATE(1514)] = 72407, - [SMALL_STATE(1515)] = 72415, - [SMALL_STATE(1516)] = 72425, - [SMALL_STATE(1517)] = 72435, - [SMALL_STATE(1518)] = 72445, - [SMALL_STATE(1519)] = 72455, - [SMALL_STATE(1520)] = 72465, - [SMALL_STATE(1521)] = 72475, - [SMALL_STATE(1522)] = 72485, - [SMALL_STATE(1523)] = 72495, - [SMALL_STATE(1524)] = 72505, - [SMALL_STATE(1525)] = 72515, - [SMALL_STATE(1526)] = 72525, - [SMALL_STATE(1527)] = 72535, - [SMALL_STATE(1528)] = 72545, - [SMALL_STATE(1529)] = 72555, - [SMALL_STATE(1530)] = 72565, - [SMALL_STATE(1531)] = 72575, - [SMALL_STATE(1532)] = 72585, - [SMALL_STATE(1533)] = 72595, - [SMALL_STATE(1534)] = 72605, - [SMALL_STATE(1535)] = 72615, - [SMALL_STATE(1536)] = 72625, - [SMALL_STATE(1537)] = 72635, - [SMALL_STATE(1538)] = 72645, - [SMALL_STATE(1539)] = 72655, - [SMALL_STATE(1540)] = 72665, - [SMALL_STATE(1541)] = 72673, - [SMALL_STATE(1542)] = 72683, - [SMALL_STATE(1543)] = 72693, - [SMALL_STATE(1544)] = 72703, - [SMALL_STATE(1545)] = 72710, - [SMALL_STATE(1546)] = 72717, - [SMALL_STATE(1547)] = 72724, - [SMALL_STATE(1548)] = 72731, - [SMALL_STATE(1549)] = 72738, - [SMALL_STATE(1550)] = 72745, - [SMALL_STATE(1551)] = 72752, - [SMALL_STATE(1552)] = 72759, - [SMALL_STATE(1553)] = 72766, - [SMALL_STATE(1554)] = 72773, - [SMALL_STATE(1555)] = 72780, - [SMALL_STATE(1556)] = 72787, - [SMALL_STATE(1557)] = 72794, - [SMALL_STATE(1558)] = 72801, - [SMALL_STATE(1559)] = 72808, - [SMALL_STATE(1560)] = 72815, - [SMALL_STATE(1561)] = 72822, - [SMALL_STATE(1562)] = 72829, - [SMALL_STATE(1563)] = 72836, - [SMALL_STATE(1564)] = 72843, - [SMALL_STATE(1565)] = 72850, - [SMALL_STATE(1566)] = 72857, - [SMALL_STATE(1567)] = 72864, - [SMALL_STATE(1568)] = 72871, - [SMALL_STATE(1569)] = 72878, - [SMALL_STATE(1570)] = 72885, - [SMALL_STATE(1571)] = 72892, - [SMALL_STATE(1572)] = 72899, - [SMALL_STATE(1573)] = 72906, - [SMALL_STATE(1574)] = 72913, - [SMALL_STATE(1575)] = 72920, - [SMALL_STATE(1576)] = 72927, - [SMALL_STATE(1577)] = 72934, - [SMALL_STATE(1578)] = 72941, - [SMALL_STATE(1579)] = 72948, - [SMALL_STATE(1580)] = 72955, - [SMALL_STATE(1581)] = 72962, - [SMALL_STATE(1582)] = 72969, - [SMALL_STATE(1583)] = 72976, - [SMALL_STATE(1584)] = 72983, - [SMALL_STATE(1585)] = 72990, - [SMALL_STATE(1586)] = 72997, - [SMALL_STATE(1587)] = 73004, - [SMALL_STATE(1588)] = 73011, - [SMALL_STATE(1589)] = 73018, - [SMALL_STATE(1590)] = 73025, - [SMALL_STATE(1591)] = 73032, - [SMALL_STATE(1592)] = 73039, - [SMALL_STATE(1593)] = 73046, - [SMALL_STATE(1594)] = 73053, - [SMALL_STATE(1595)] = 73060, - [SMALL_STATE(1596)] = 73067, - [SMALL_STATE(1597)] = 73074, - [SMALL_STATE(1598)] = 73081, - [SMALL_STATE(1599)] = 73088, - [SMALL_STATE(1600)] = 73095, - [SMALL_STATE(1601)] = 73102, - [SMALL_STATE(1602)] = 73109, - [SMALL_STATE(1603)] = 73116, - [SMALL_STATE(1604)] = 73123, - [SMALL_STATE(1605)] = 73130, - [SMALL_STATE(1606)] = 73137, - [SMALL_STATE(1607)] = 73144, - [SMALL_STATE(1608)] = 73151, - [SMALL_STATE(1609)] = 73158, - [SMALL_STATE(1610)] = 73165, - [SMALL_STATE(1611)] = 73172, - [SMALL_STATE(1612)] = 73179, - [SMALL_STATE(1613)] = 73186, - [SMALL_STATE(1614)] = 73193, - [SMALL_STATE(1615)] = 73200, - [SMALL_STATE(1616)] = 73207, - [SMALL_STATE(1617)] = 73214, - [SMALL_STATE(1618)] = 73221, - [SMALL_STATE(1619)] = 73228, - [SMALL_STATE(1620)] = 73235, - [SMALL_STATE(1621)] = 73242, - [SMALL_STATE(1622)] = 73249, - [SMALL_STATE(1623)] = 73256, - [SMALL_STATE(1624)] = 73263, - [SMALL_STATE(1625)] = 73270, - [SMALL_STATE(1626)] = 73277, - [SMALL_STATE(1627)] = 73284, - [SMALL_STATE(1628)] = 73291, - [SMALL_STATE(1629)] = 73298, - [SMALL_STATE(1630)] = 73305, - [SMALL_STATE(1631)] = 73312, - [SMALL_STATE(1632)] = 73319, - [SMALL_STATE(1633)] = 73326, - [SMALL_STATE(1634)] = 73333, - [SMALL_STATE(1635)] = 73340, - [SMALL_STATE(1636)] = 73347, - [SMALL_STATE(1637)] = 73354, - [SMALL_STATE(1638)] = 73361, - [SMALL_STATE(1639)] = 73368, - [SMALL_STATE(1640)] = 73375, - [SMALL_STATE(1641)] = 73382, - [SMALL_STATE(1642)] = 73389, - [SMALL_STATE(1643)] = 73396, - [SMALL_STATE(1644)] = 73403, - [SMALL_STATE(1645)] = 73410, - [SMALL_STATE(1646)] = 73417, - [SMALL_STATE(1647)] = 73424, - [SMALL_STATE(1648)] = 73431, - [SMALL_STATE(1649)] = 73438, - [SMALL_STATE(1650)] = 73445, - [SMALL_STATE(1651)] = 73452, - [SMALL_STATE(1652)] = 73459, - [SMALL_STATE(1653)] = 73466, - [SMALL_STATE(1654)] = 73473, - [SMALL_STATE(1655)] = 73480, - [SMALL_STATE(1656)] = 73487, - [SMALL_STATE(1657)] = 73494, - [SMALL_STATE(1658)] = 73501, - [SMALL_STATE(1659)] = 73508, - [SMALL_STATE(1660)] = 73515, - [SMALL_STATE(1661)] = 73522, - [SMALL_STATE(1662)] = 73529, - [SMALL_STATE(1663)] = 73536, - [SMALL_STATE(1664)] = 73543, - [SMALL_STATE(1665)] = 73550, - [SMALL_STATE(1666)] = 73557, - [SMALL_STATE(1667)] = 73564, - [SMALL_STATE(1668)] = 73571, - [SMALL_STATE(1669)] = 73578, - [SMALL_STATE(1670)] = 73585, - [SMALL_STATE(1671)] = 73592, - [SMALL_STATE(1672)] = 73599, - [SMALL_STATE(1673)] = 73606, - [SMALL_STATE(1674)] = 73613, - [SMALL_STATE(1675)] = 73620, - [SMALL_STATE(1676)] = 73627, - [SMALL_STATE(1677)] = 73634, - [SMALL_STATE(1678)] = 73641, - [SMALL_STATE(1679)] = 73648, - [SMALL_STATE(1680)] = 73655, - [SMALL_STATE(1681)] = 73662, - [SMALL_STATE(1682)] = 73669, - [SMALL_STATE(1683)] = 73676, - [SMALL_STATE(1684)] = 73683, - [SMALL_STATE(1685)] = 73690, - [SMALL_STATE(1686)] = 73697, - [SMALL_STATE(1687)] = 73704, - [SMALL_STATE(1688)] = 73711, - [SMALL_STATE(1689)] = 73718, - [SMALL_STATE(1690)] = 73725, - [SMALL_STATE(1691)] = 73732, - [SMALL_STATE(1692)] = 73739, - [SMALL_STATE(1693)] = 73746, - [SMALL_STATE(1694)] = 73753, - [SMALL_STATE(1695)] = 73760, - [SMALL_STATE(1696)] = 73767, - [SMALL_STATE(1697)] = 73774, - [SMALL_STATE(1698)] = 73781, - [SMALL_STATE(1699)] = 73788, - [SMALL_STATE(1700)] = 73795, - [SMALL_STATE(1701)] = 73802, - [SMALL_STATE(1702)] = 73809, - [SMALL_STATE(1703)] = 73816, - [SMALL_STATE(1704)] = 73823, - [SMALL_STATE(1705)] = 73830, - [SMALL_STATE(1706)] = 73837, - [SMALL_STATE(1707)] = 73844, - [SMALL_STATE(1708)] = 73851, - [SMALL_STATE(1709)] = 73858, - [SMALL_STATE(1710)] = 73865, - [SMALL_STATE(1711)] = 73872, - [SMALL_STATE(1712)] = 73879, - [SMALL_STATE(1713)] = 73886, - [SMALL_STATE(1714)] = 73893, - [SMALL_STATE(1715)] = 73900, - [SMALL_STATE(1716)] = 73907, - [SMALL_STATE(1717)] = 73914, - [SMALL_STATE(1718)] = 73921, - [SMALL_STATE(1719)] = 73928, - [SMALL_STATE(1720)] = 73935, - [SMALL_STATE(1721)] = 73942, - [SMALL_STATE(1722)] = 73949, - [SMALL_STATE(1723)] = 73956, - [SMALL_STATE(1724)] = 73963, - [SMALL_STATE(1725)] = 73970, - [SMALL_STATE(1726)] = 73977, - [SMALL_STATE(1727)] = 73984, - [SMALL_STATE(1728)] = 73991, - [SMALL_STATE(1729)] = 73998, - [SMALL_STATE(1730)] = 74005, - [SMALL_STATE(1731)] = 74012, - [SMALL_STATE(1732)] = 74019, - [SMALL_STATE(1733)] = 74026, - [SMALL_STATE(1734)] = 74033, - [SMALL_STATE(1735)] = 74040, - [SMALL_STATE(1736)] = 74047, - [SMALL_STATE(1737)] = 74054, - [SMALL_STATE(1738)] = 74061, - [SMALL_STATE(1739)] = 74068, - [SMALL_STATE(1740)] = 74075, - [SMALL_STATE(1741)] = 74082, - [SMALL_STATE(1742)] = 74089, - [SMALL_STATE(1743)] = 74096, - [SMALL_STATE(1744)] = 74103, - [SMALL_STATE(1745)] = 74110, - [SMALL_STATE(1746)] = 74117, - [SMALL_STATE(1747)] = 74124, - [SMALL_STATE(1748)] = 74131, - [SMALL_STATE(1749)] = 74138, - [SMALL_STATE(1750)] = 74145, - [SMALL_STATE(1751)] = 74152, - [SMALL_STATE(1752)] = 74159, - [SMALL_STATE(1753)] = 74166, - [SMALL_STATE(1754)] = 74173, - [SMALL_STATE(1755)] = 74180, - [SMALL_STATE(1756)] = 74187, - [SMALL_STATE(1757)] = 74194, - [SMALL_STATE(1758)] = 74201, - [SMALL_STATE(1759)] = 74208, - [SMALL_STATE(1760)] = 74215, - [SMALL_STATE(1761)] = 74222, - [SMALL_STATE(1762)] = 74229, - [SMALL_STATE(1763)] = 74236, - [SMALL_STATE(1764)] = 74243, - [SMALL_STATE(1765)] = 74250, - [SMALL_STATE(1766)] = 74257, - [SMALL_STATE(1767)] = 74264, - [SMALL_STATE(1768)] = 74271, - [SMALL_STATE(1769)] = 74278, - [SMALL_STATE(1770)] = 74285, - [SMALL_STATE(1771)] = 74292, - [SMALL_STATE(1772)] = 74299, - [SMALL_STATE(1773)] = 74306, - [SMALL_STATE(1774)] = 74313, - [SMALL_STATE(1775)] = 74320, - [SMALL_STATE(1776)] = 74327, - [SMALL_STATE(1777)] = 74334, - [SMALL_STATE(1778)] = 74341, - [SMALL_STATE(1779)] = 74348, - [SMALL_STATE(1780)] = 74355, - [SMALL_STATE(1781)] = 74362, - [SMALL_STATE(1782)] = 74369, - [SMALL_STATE(1783)] = 74376, - [SMALL_STATE(1784)] = 74383, - [SMALL_STATE(1785)] = 74390, - [SMALL_STATE(1786)] = 74397, - [SMALL_STATE(1787)] = 74404, - [SMALL_STATE(1788)] = 74411, - [SMALL_STATE(1789)] = 74418, - [SMALL_STATE(1790)] = 74425, - [SMALL_STATE(1791)] = 74432, - [SMALL_STATE(1792)] = 74439, - [SMALL_STATE(1793)] = 74446, - [SMALL_STATE(1794)] = 74453, - [SMALL_STATE(1795)] = 74460, - [SMALL_STATE(1796)] = 74467, - [SMALL_STATE(1797)] = 74474, - [SMALL_STATE(1798)] = 74481, - [SMALL_STATE(1799)] = 74488, - [SMALL_STATE(1800)] = 74495, - [SMALL_STATE(1801)] = 74502, - [SMALL_STATE(1802)] = 74509, - [SMALL_STATE(1803)] = 74516, - [SMALL_STATE(1804)] = 74523, - [SMALL_STATE(1805)] = 74530, - [SMALL_STATE(1806)] = 74537, - [SMALL_STATE(1807)] = 74544, - [SMALL_STATE(1808)] = 74551, - [SMALL_STATE(1809)] = 74558, - [SMALL_STATE(1810)] = 74565, - [SMALL_STATE(1811)] = 74572, - [SMALL_STATE(1812)] = 74579, - [SMALL_STATE(1813)] = 74586, - [SMALL_STATE(1814)] = 74593, - [SMALL_STATE(1815)] = 74600, - [SMALL_STATE(1816)] = 74607, - [SMALL_STATE(1817)] = 74614, - [SMALL_STATE(1818)] = 74621, - [SMALL_STATE(1819)] = 74628, - [SMALL_STATE(1820)] = 74635, - [SMALL_STATE(1821)] = 74642, - [SMALL_STATE(1822)] = 74649, - [SMALL_STATE(1823)] = 74656, - [SMALL_STATE(1824)] = 74663, - [SMALL_STATE(1825)] = 74670, - [SMALL_STATE(1826)] = 74677, - [SMALL_STATE(1827)] = 74684, - [SMALL_STATE(1828)] = 74691, - [SMALL_STATE(1829)] = 74698, - [SMALL_STATE(1830)] = 74705, - [SMALL_STATE(1831)] = 74712, - [SMALL_STATE(1832)] = 74719, - [SMALL_STATE(1833)] = 74726, - [SMALL_STATE(1834)] = 74733, - [SMALL_STATE(1835)] = 74740, - [SMALL_STATE(1836)] = 74747, - [SMALL_STATE(1837)] = 74754, - [SMALL_STATE(1838)] = 74761, - [SMALL_STATE(1839)] = 74768, - [SMALL_STATE(1840)] = 74775, + [SMALL_STATE(88)] = 0, + [SMALL_STATE(89)] = 75, + [SMALL_STATE(90)] = 146, + [SMALL_STATE(91)] = 221, + [SMALL_STATE(92)] = 292, + [SMALL_STATE(93)] = 405, + [SMALL_STATE(94)] = 480, + [SMALL_STATE(95)] = 551, + [SMALL_STATE(96)] = 626, + [SMALL_STATE(97)] = 697, + [SMALL_STATE(98)] = 768, + [SMALL_STATE(99)] = 839, + [SMALL_STATE(100)] = 912, + [SMALL_STATE(101)] = 985, + [SMALL_STATE(102)] = 1056, + [SMALL_STATE(103)] = 1127, + [SMALL_STATE(104)] = 1198, + [SMALL_STATE(105)] = 1269, + [SMALL_STATE(106)] = 1340, + [SMALL_STATE(107)] = 1411, + [SMALL_STATE(108)] = 1482, + [SMALL_STATE(109)] = 1553, + [SMALL_STATE(110)] = 1628, + [SMALL_STATE(111)] = 1699, + [SMALL_STATE(112)] = 1774, + [SMALL_STATE(113)] = 1845, + [SMALL_STATE(114)] = 1916, + [SMALL_STATE(115)] = 1991, + [SMALL_STATE(116)] = 2062, + [SMALL_STATE(117)] = 2133, + [SMALL_STATE(118)] = 2208, + [SMALL_STATE(119)] = 2283, + [SMALL_STATE(120)] = 2358, + [SMALL_STATE(121)] = 2429, + [SMALL_STATE(122)] = 2504, + [SMALL_STATE(123)] = 2575, + [SMALL_STATE(124)] = 2650, + [SMALL_STATE(125)] = 2725, + [SMALL_STATE(126)] = 2800, + [SMALL_STATE(127)] = 2875, + [SMALL_STATE(128)] = 3000, + [SMALL_STATE(129)] = 3075, + [SMALL_STATE(130)] = 3150, + [SMALL_STATE(131)] = 3221, + [SMALL_STATE(132)] = 3292, + [SMALL_STATE(133)] = 3363, + [SMALL_STATE(134)] = 3438, + [SMALL_STATE(135)] = 3509, + [SMALL_STATE(136)] = 3579, + [SMALL_STATE(137)] = 3649, + [SMALL_STATE(138)] = 3719, + [SMALL_STATE(139)] = 3789, + [SMALL_STATE(140)] = 3859, + [SMALL_STATE(141)] = 3929, + [SMALL_STATE(142)] = 3999, + [SMALL_STATE(143)] = 4069, + [SMALL_STATE(144)] = 4141, + [SMALL_STATE(145)] = 4213, + [SMALL_STATE(146)] = 4285, + [SMALL_STATE(147)] = 4355, + [SMALL_STATE(148)] = 4425, + [SMALL_STATE(149)] = 4495, + [SMALL_STATE(150)] = 4565, + [SMALL_STATE(151)] = 4637, + [SMALL_STATE(152)] = 4707, + [SMALL_STATE(153)] = 4777, + [SMALL_STATE(154)] = 4847, + [SMALL_STATE(155)] = 4917, + [SMALL_STATE(156)] = 4987, + [SMALL_STATE(157)] = 5057, + [SMALL_STATE(158)] = 5127, + [SMALL_STATE(159)] = 5197, + [SMALL_STATE(160)] = 5267, + [SMALL_STATE(161)] = 5337, + [SMALL_STATE(162)] = 5407, + [SMALL_STATE(163)] = 5477, + [SMALL_STATE(164)] = 5547, + [SMALL_STATE(165)] = 5617, + [SMALL_STATE(166)] = 5687, + [SMALL_STATE(167)] = 5757, + [SMALL_STATE(168)] = 5835, + [SMALL_STATE(169)] = 5905, + [SMALL_STATE(170)] = 5979, + [SMALL_STATE(171)] = 6051, + [SMALL_STATE(172)] = 6129, + [SMALL_STATE(173)] = 6199, + [SMALL_STATE(174)] = 6269, + [SMALL_STATE(175)] = 6339, + [SMALL_STATE(176)] = 6409, + [SMALL_STATE(177)] = 6503, + [SMALL_STATE(178)] = 6595, + [SMALL_STATE(179)] = 6665, + [SMALL_STATE(180)] = 6735, + [SMALL_STATE(181)] = 6805, + [SMALL_STATE(182)] = 6875, + [SMALL_STATE(183)] = 6945, + [SMALL_STATE(184)] = 7027, + [SMALL_STATE(185)] = 7097, + [SMALL_STATE(186)] = 7167, + [SMALL_STATE(187)] = 7237, + [SMALL_STATE(188)] = 7307, + [SMALL_STATE(189)] = 7377, + [SMALL_STATE(190)] = 7447, + [SMALL_STATE(191)] = 7517, + [SMALL_STATE(192)] = 7587, + [SMALL_STATE(193)] = 7657, + [SMALL_STATE(194)] = 7727, + [SMALL_STATE(195)] = 7797, + [SMALL_STATE(196)] = 7867, + [SMALL_STATE(197)] = 7937, + [SMALL_STATE(198)] = 8007, + [SMALL_STATE(199)] = 8077, + [SMALL_STATE(200)] = 8149, + [SMALL_STATE(201)] = 8219, + [SMALL_STATE(202)] = 8289, + [SMALL_STATE(203)] = 8359, + [SMALL_STATE(204)] = 8429, + [SMALL_STATE(205)] = 8525, + [SMALL_STATE(206)] = 8595, + [SMALL_STATE(207)] = 8665, + [SMALL_STATE(208)] = 8735, + [SMALL_STATE(209)] = 8805, + [SMALL_STATE(210)] = 8875, + [SMALL_STATE(211)] = 8945, + [SMALL_STATE(212)] = 9015, + [SMALL_STATE(213)] = 9085, + [SMALL_STATE(214)] = 9157, + [SMALL_STATE(215)] = 9227, + [SMALL_STATE(216)] = 9322, + [SMALL_STATE(217)] = 9413, + [SMALL_STATE(218)] = 9482, + [SMALL_STATE(219)] = 9551, + [SMALL_STATE(220)] = 9620, + [SMALL_STATE(221)] = 9689, + [SMALL_STATE(222)] = 9758, + [SMALL_STATE(223)] = 9827, + [SMALL_STATE(224)] = 9896, + [SMALL_STATE(225)] = 9965, + [SMALL_STATE(226)] = 10034, + [SMALL_STATE(227)] = 10113, + [SMALL_STATE(228)] = 10194, + [SMALL_STATE(229)] = 10263, + [SMALL_STATE(230)] = 10334, + [SMALL_STATE(231)] = 10405, + [SMALL_STATE(232)] = 10474, + [SMALL_STATE(233)] = 10543, + [SMALL_STATE(234)] = 10612, + [SMALL_STATE(235)] = 10681, + [SMALL_STATE(236)] = 10750, + [SMALL_STATE(237)] = 10819, + [SMALL_STATE(238)] = 10888, + [SMALL_STATE(239)] = 10957, + [SMALL_STATE(240)] = 11026, + [SMALL_STATE(241)] = 11095, + [SMALL_STATE(242)] = 11176, + [SMALL_STATE(243)] = 11249, + [SMALL_STATE(244)] = 11318, + [SMALL_STATE(245)] = 11411, + [SMALL_STATE(246)] = 11480, + [SMALL_STATE(247)] = 11557, + [SMALL_STATE(248)] = 11628, + [SMALL_STATE(249)] = 11701, + [SMALL_STATE(250)] = 11770, + [SMALL_STATE(251)] = 11861, + [SMALL_STATE(252)] = 11934, + [SMALL_STATE(253)] = 12003, + [SMALL_STATE(254)] = 12072, + [SMALL_STATE(255)] = 12141, + [SMALL_STATE(256)] = 12210, + [SMALL_STATE(257)] = 12279, + [SMALL_STATE(258)] = 12348, + [SMALL_STATE(259)] = 12419, + [SMALL_STATE(260)] = 12488, + [SMALL_STATE(261)] = 12557, + [SMALL_STATE(262)] = 12626, + [SMALL_STATE(263)] = 12695, + [SMALL_STATE(264)] = 12764, + [SMALL_STATE(265)] = 12833, + [SMALL_STATE(266)] = 12902, + [SMALL_STATE(267)] = 12973, + [SMALL_STATE(268)] = 13066, + [SMALL_STATE(269)] = 13135, + [SMALL_STATE(270)] = 13204, + [SMALL_STATE(271)] = 13273, + [SMALL_STATE(272)] = 13346, + [SMALL_STATE(273)] = 13417, + [SMALL_STATE(274)] = 13494, + [SMALL_STATE(275)] = 13563, + [SMALL_STATE(276)] = 13632, + [SMALL_STATE(277)] = 13701, + [SMALL_STATE(278)] = 13770, + [SMALL_STATE(279)] = 13865, + [SMALL_STATE(280)] = 13934, + [SMALL_STATE(281)] = 14006, + [SMALL_STATE(282)] = 14078, + [SMALL_STATE(283)] = 14150, + [SMALL_STATE(284)] = 14222, + [SMALL_STATE(285)] = 14290, + [SMALL_STATE(286)] = 14366, + [SMALL_STATE(287)] = 14438, + [SMALL_STATE(288)] = 14506, + [SMALL_STATE(289)] = 14574, + [SMALL_STATE(290)] = 14641, + [SMALL_STATE(291)] = 14708, + [SMALL_STATE(292)] = 14775, + [SMALL_STATE(293)] = 14850, + [SMALL_STATE(294)] = 14923, + [SMALL_STATE(295)] = 14996, + [SMALL_STATE(296)] = 15067, + [SMALL_STATE(297)] = 15134, + [SMALL_STATE(298)] = 15210, + [SMALL_STATE(299)] = 15280, + [SMALL_STATE(300)] = 15346, + [SMALL_STATE(301)] = 15416, + [SMALL_STATE(302)] = 15482, + [SMALL_STATE(303)] = 15552, + [SMALL_STATE(304)] = 15622, + [SMALL_STATE(305)] = 15692, + [SMALL_STATE(306)] = 15762, + [SMALL_STATE(307)] = 15832, + [SMALL_STATE(308)] = 15902, + [SMALL_STATE(309)] = 15967, + [SMALL_STATE(310)] = 16036, + [SMALL_STATE(311)] = 16129, + [SMALL_STATE(312)] = 16194, + [SMALL_STATE(313)] = 16259, + [SMALL_STATE(314)] = 16324, + [SMALL_STATE(315)] = 16389, + [SMALL_STATE(316)] = 16454, + [SMALL_STATE(317)] = 16519, + [SMALL_STATE(318)] = 16584, + [SMALL_STATE(319)] = 16657, + [SMALL_STATE(320)] = 16722, + [SMALL_STATE(321)] = 16787, + [SMALL_STATE(322)] = 16854, + [SMALL_STATE(323)] = 16929, + [SMALL_STATE(324)] = 16994, + [SMALL_STATE(325)] = 17059, + [SMALL_STATE(326)] = 17124, + [SMALL_STATE(327)] = 17193, + [SMALL_STATE(328)] = 17258, + [SMALL_STATE(329)] = 17325, + [SMALL_STATE(330)] = 17390, + [SMALL_STATE(331)] = 17455, + [SMALL_STATE(332)] = 17520, + [SMALL_STATE(333)] = 17585, + [SMALL_STATE(334)] = 17658, + [SMALL_STATE(335)] = 17731, + [SMALL_STATE(336)] = 17796, + [SMALL_STATE(337)] = 17861, + [SMALL_STATE(338)] = 17951, + [SMALL_STATE(339)] = 18015, + [SMALL_STATE(340)] = 18081, + [SMALL_STATE(341)] = 18145, + [SMALL_STATE(342)] = 18209, + [SMALL_STATE(343)] = 18275, + [SMALL_STATE(344)] = 18343, + [SMALL_STATE(345)] = 18407, + [SMALL_STATE(346)] = 18471, + [SMALL_STATE(347)] = 18535, + [SMALL_STATE(348)] = 18605, + [SMALL_STATE(349)] = 18669, + [SMALL_STATE(350)] = 18733, + [SMALL_STATE(351)] = 18797, + [SMALL_STATE(352)] = 18873, + [SMALL_STATE(353)] = 18937, + [SMALL_STATE(354)] = 19023, + [SMALL_STATE(355)] = 19111, + [SMALL_STATE(356)] = 19175, + [SMALL_STATE(357)] = 19247, + [SMALL_STATE(358)] = 19313, + [SMALL_STATE(359)] = 19381, + [SMALL_STATE(360)] = 19449, + [SMALL_STATE(361)] = 19513, + [SMALL_STATE(362)] = 19577, + [SMALL_STATE(363)] = 19641, + [SMALL_STATE(364)] = 19705, + [SMALL_STATE(365)] = 19769, + [SMALL_STATE(366)] = 19833, + [SMALL_STATE(367)] = 19903, + [SMALL_STATE(368)] = 19995, + [SMALL_STATE(369)] = 20059, + [SMALL_STATE(370)] = 20123, + [SMALL_STATE(371)] = 20187, + [SMALL_STATE(372)] = 20255, + [SMALL_STATE(373)] = 20319, + [SMALL_STATE(374)] = 20383, + [SMALL_STATE(375)] = 20447, + [SMALL_STATE(376)] = 20511, + [SMALL_STATE(377)] = 20583, + [SMALL_STATE(378)] = 20675, + [SMALL_STATE(379)] = 20796, + [SMALL_STATE(380)] = 20917, + [SMALL_STATE(381)] = 21038, + [SMALL_STATE(382)] = 21159, + [SMALL_STATE(383)] = 21280, + [SMALL_STATE(384)] = 21401, + [SMALL_STATE(385)] = 21522, + [SMALL_STATE(386)] = 21643, + [SMALL_STATE(387)] = 21710, + [SMALL_STATE(388)] = 21777, + [SMALL_STATE(389)] = 21840, + [SMALL_STATE(390)] = 21909, + [SMALL_STATE(391)] = 21976, + [SMALL_STATE(392)] = 22097, + [SMALL_STATE(393)] = 22160, + [SMALL_STATE(394)] = 22223, + [SMALL_STATE(395)] = 22344, + [SMALL_STATE(396)] = 22411, + [SMALL_STATE(397)] = 22516, + [SMALL_STATE(398)] = 22583, + [SMALL_STATE(399)] = 22650, + [SMALL_STATE(400)] = 22771, + [SMALL_STATE(401)] = 22892, + [SMALL_STATE(402)] = 23013, + [SMALL_STATE(403)] = 23082, + [SMALL_STATE(404)] = 23149, + [SMALL_STATE(405)] = 23270, + [SMALL_STATE(406)] = 23337, + [SMALL_STATE(407)] = 23404, + [SMALL_STATE(408)] = 23525, + [SMALL_STATE(409)] = 23592, + [SMALL_STATE(410)] = 23659, + [SMALL_STATE(411)] = 23726, + [SMALL_STATE(412)] = 23847, + [SMALL_STATE(413)] = 23918, + [SMALL_STATE(414)] = 23980, + [SMALL_STATE(415)] = 24042, + [SMALL_STATE(416)] = 24104, + [SMALL_STATE(417)] = 24166, + [SMALL_STATE(418)] = 24232, + [SMALL_STATE(419)] = 24294, + [SMALL_STATE(420)] = 24356, + [SMALL_STATE(421)] = 24422, + [SMALL_STATE(422)] = 24484, + [SMALL_STATE(423)] = 24546, + [SMALL_STATE(424)] = 24612, + [SMALL_STATE(425)] = 24674, + [SMALL_STATE(426)] = 24736, + [SMALL_STATE(427)] = 24798, + [SMALL_STATE(428)] = 24860, + [SMALL_STATE(429)] = 24922, + [SMALL_STATE(430)] = 24984, + [SMALL_STATE(431)] = 25046, + [SMALL_STATE(432)] = 25118, + [SMALL_STATE(433)] = 25180, + [SMALL_STATE(434)] = 25242, + [SMALL_STATE(435)] = 25304, + [SMALL_STATE(436)] = 25366, + [SMALL_STATE(437)] = 25428, + [SMALL_STATE(438)] = 25490, + [SMALL_STATE(439)] = 25556, + [SMALL_STATE(440)] = 25618, + [SMALL_STATE(441)] = 25680, + [SMALL_STATE(442)] = 25742, + [SMALL_STATE(443)] = 25804, + [SMALL_STATE(444)] = 25870, + [SMALL_STATE(445)] = 25936, + [SMALL_STATE(446)] = 26000, + [SMALL_STATE(447)] = 26062, + [SMALL_STATE(448)] = 26126, + [SMALL_STATE(449)] = 26187, + [SMALL_STATE(450)] = 26252, + [SMALL_STATE(451)] = 26313, + [SMALL_STATE(452)] = 26378, + [SMALL_STATE(453)] = 26439, + [SMALL_STATE(454)] = 26500, + [SMALL_STATE(455)] = 26561, + [SMALL_STATE(456)] = 26632, + [SMALL_STATE(457)] = 26693, + [SMALL_STATE(458)] = 26758, + [SMALL_STATE(459)] = 26819, + [SMALL_STATE(460)] = 26880, + [SMALL_STATE(461)] = 26943, + [SMALL_STATE(462)] = 27008, + [SMALL_STATE(463)] = 27069, + [SMALL_STATE(464)] = 27130, + [SMALL_STATE(465)] = 27195, + [SMALL_STATE(466)] = 27256, + [SMALL_STATE(467)] = 27321, + [SMALL_STATE(468)] = 27382, + [SMALL_STATE(469)] = 27447, + [SMALL_STATE(470)] = 27510, + [SMALL_STATE(471)] = 27571, + [SMALL_STATE(472)] = 27636, + [SMALL_STATE(473)] = 27705, + [SMALL_STATE(474)] = 27774, + [SMALL_STATE(475)] = 27835, + [SMALL_STATE(476)] = 27896, + [SMALL_STATE(477)] = 27957, + [SMALL_STATE(478)] = 28044, + [SMALL_STATE(479)] = 28105, + [SMALL_STATE(480)] = 28188, + [SMALL_STATE(481)] = 28249, + [SMALL_STATE(482)] = 28310, + [SMALL_STATE(483)] = 28379, + [SMALL_STATE(484)] = 28440, + [SMALL_STATE(485)] = 28501, + [SMALL_STATE(486)] = 28574, + [SMALL_STATE(487)] = 28635, + [SMALL_STATE(488)] = 28696, + [SMALL_STATE(489)] = 28759, + [SMALL_STATE(490)] = 28820, + [SMALL_STATE(491)] = 28905, + [SMALL_STATE(492)] = 28965, + [SMALL_STATE(493)] = 29025, + [SMALL_STATE(494)] = 29093, + [SMALL_STATE(495)] = 29153, + [SMALL_STATE(496)] = 29213, + [SMALL_STATE(497)] = 29273, + [SMALL_STATE(498)] = 29333, + [SMALL_STATE(499)] = 29393, + [SMALL_STATE(500)] = 29453, + [SMALL_STATE(501)] = 29545, + [SMALL_STATE(502)] = 29615, + [SMALL_STATE(503)] = 29675, + [SMALL_STATE(504)] = 29735, + [SMALL_STATE(505)] = 29795, + [SMALL_STATE(506)] = 29855, + [SMALL_STATE(507)] = 29915, + [SMALL_STATE(508)] = 29981, + [SMALL_STATE(509)] = 30041, + [SMALL_STATE(510)] = 30101, + [SMALL_STATE(511)] = 30163, + [SMALL_STATE(512)] = 30223, + [SMALL_STATE(513)] = 30283, + [SMALL_STATE(514)] = 30343, + [SMALL_STATE(515)] = 30411, + [SMALL_STATE(516)] = 30473, + [SMALL_STATE(517)] = 30533, + [SMALL_STATE(518)] = 30599, + [SMALL_STATE(519)] = 30661, + [SMALL_STATE(520)] = 30721, + [SMALL_STATE(521)] = 30781, + [SMALL_STATE(522)] = 30843, + [SMALL_STATE(523)] = 30903, + [SMALL_STATE(524)] = 30963, + [SMALL_STATE(525)] = 31023, + [SMALL_STATE(526)] = 31083, + [SMALL_STATE(527)] = 31143, + [SMALL_STATE(528)] = 31202, + [SMALL_STATE(529)] = 31261, + [SMALL_STATE(530)] = 31320, + [SMALL_STATE(531)] = 31379, + [SMALL_STATE(532)] = 31486, + [SMALL_STATE(533)] = 31593, + [SMALL_STATE(534)] = 31700, + [SMALL_STATE(535)] = 31807, + [SMALL_STATE(536)] = 31914, + [SMALL_STATE(537)] = 31973, + [SMALL_STATE(538)] = 32032, + [SMALL_STATE(539)] = 32091, + [SMALL_STATE(540)] = 32150, + [SMALL_STATE(541)] = 32221, + [SMALL_STATE(542)] = 32280, + [SMALL_STATE(543)] = 32339, + [SMALL_STATE(544)] = 32446, + [SMALL_STATE(545)] = 32505, + [SMALL_STATE(546)] = 32564, + [SMALL_STATE(547)] = 32651, + [SMALL_STATE(548)] = 32714, + [SMALL_STATE(549)] = 32821, + [SMALL_STATE(550)] = 32880, + [SMALL_STATE(551)] = 32939, + [SMALL_STATE(552)] = 33046, + [SMALL_STATE(553)] = 33105, + [SMALL_STATE(554)] = 33212, + [SMALL_STATE(555)] = 33277, + [SMALL_STATE(556)] = 33338, + [SMALL_STATE(557)] = 33397, + [SMALL_STATE(558)] = 33464, + [SMALL_STATE(559)] = 33549, + [SMALL_STATE(560)] = 33656, + [SMALL_STATE(561)] = 33763, + [SMALL_STATE(562)] = 33870, + [SMALL_STATE(563)] = 33929, + [SMALL_STATE(564)] = 33988, + [SMALL_STATE(565)] = 34047, + [SMALL_STATE(566)] = 34106, + [SMALL_STATE(567)] = 34189, + [SMALL_STATE(568)] = 34250, + [SMALL_STATE(569)] = 34313, + [SMALL_STATE(570)] = 34372, + [SMALL_STATE(571)] = 34431, + [SMALL_STATE(572)] = 34490, + [SMALL_STATE(573)] = 34549, + [SMALL_STATE(574)] = 34634, + [SMALL_STATE(575)] = 34693, + [SMALL_STATE(576)] = 34774, + [SMALL_STATE(577)] = 34837, + [SMALL_STATE(578)] = 34898, + [SMALL_STATE(579)] = 34957, + [SMALL_STATE(580)] = 35022, + [SMALL_STATE(581)] = 35093, + [SMALL_STATE(582)] = 35152, + [SMALL_STATE(583)] = 35211, + [SMALL_STATE(584)] = 35274, + [SMALL_STATE(585)] = 35333, + [SMALL_STATE(586)] = 35440, + [SMALL_STATE(587)] = 35499, + [SMALL_STATE(588)] = 35562, + [SMALL_STATE(589)] = 35629, + [SMALL_STATE(590)] = 35690, + [SMALL_STATE(591)] = 35749, + [SMALL_STATE(592)] = 35808, + [SMALL_STATE(593)] = 35915, + [SMALL_STATE(594)] = 35974, + [SMALL_STATE(595)] = 36035, + [SMALL_STATE(596)] = 36098, + [SMALL_STATE(597)] = 36157, + [SMALL_STATE(598)] = 36220, + [SMALL_STATE(599)] = 36279, + [SMALL_STATE(600)] = 36360, + [SMALL_STATE(601)] = 36451, + [SMALL_STATE(602)] = 36510, + [SMALL_STATE(603)] = 36571, + [SMALL_STATE(604)] = 36630, + [SMALL_STATE(605)] = 36713, + [SMALL_STATE(606)] = 36772, + [SMALL_STATE(607)] = 36831, + [SMALL_STATE(608)] = 36894, + [SMALL_STATE(609)] = 36957, + [SMALL_STATE(610)] = 37024, + [SMALL_STATE(611)] = 37087, + [SMALL_STATE(612)] = 37150, + [SMALL_STATE(613)] = 37213, + [SMALL_STATE(614)] = 37272, + [SMALL_STATE(615)] = 37335, + [SMALL_STATE(616)] = 37394, + [SMALL_STATE(617)] = 37452, + [SMALL_STATE(618)] = 37510, + [SMALL_STATE(619)] = 37596, + [SMALL_STATE(620)] = 37702, + [SMALL_STATE(621)] = 37760, + [SMALL_STATE(622)] = 37824, + [SMALL_STATE(623)] = 37930, + [SMALL_STATE(624)] = 37988, + [SMALL_STATE(625)] = 38046, + [SMALL_STATE(626)] = 38110, + [SMALL_STATE(627)] = 38168, + [SMALL_STATE(628)] = 38228, + [SMALL_STATE(629)] = 38286, + [SMALL_STATE(630)] = 38344, + [SMALL_STATE(631)] = 38404, + [SMALL_STATE(632)] = 38510, + [SMALL_STATE(633)] = 38568, + [SMALL_STATE(634)] = 38626, + [SMALL_STATE(635)] = 38684, + [SMALL_STATE(636)] = 38742, + [SMALL_STATE(637)] = 38800, + [SMALL_STATE(638)] = 38862, + [SMALL_STATE(639)] = 38920, + [SMALL_STATE(640)] = 38982, + [SMALL_STATE(641)] = 39040, + [SMALL_STATE(642)] = 39102, + [SMALL_STATE(643)] = 39208, + [SMALL_STATE(644)] = 39314, + [SMALL_STATE(645)] = 39372, + [SMALL_STATE(646)] = 39478, + [SMALL_STATE(647)] = 39536, + [SMALL_STATE(648)] = 39642, + [SMALL_STATE(649)] = 39748, + [SMALL_STATE(650)] = 39810, + [SMALL_STATE(651)] = 39868, + [SMALL_STATE(652)] = 39974, + [SMALL_STATE(653)] = 40032, + [SMALL_STATE(654)] = 40090, + [SMALL_STATE(655)] = 40196, + [SMALL_STATE(656)] = 40254, + [SMALL_STATE(657)] = 40340, + [SMALL_STATE(658)] = 40446, + [SMALL_STATE(659)] = 40552, + [SMALL_STATE(660)] = 40658, + [SMALL_STATE(661)] = 40764, + [SMALL_STATE(662)] = 40822, + [SMALL_STATE(663)] = 40928, + [SMALL_STATE(664)] = 41034, + [SMALL_STATE(665)] = 41092, + [SMALL_STATE(666)] = 41198, + [SMALL_STATE(667)] = 41304, + [SMALL_STATE(668)] = 41410, + [SMALL_STATE(669)] = 41516, + [SMALL_STATE(670)] = 41602, + [SMALL_STATE(671)] = 41708, + [SMALL_STATE(672)] = 41814, + [SMALL_STATE(673)] = 41872, + [SMALL_STATE(674)] = 41978, + [SMALL_STATE(675)] = 42084, + [SMALL_STATE(676)] = 42146, + [SMALL_STATE(677)] = 42252, + [SMALL_STATE(678)] = 42355, + [SMALL_STATE(679)] = 42440, + [SMALL_STATE(680)] = 42543, + [SMALL_STATE(681)] = 42646, + [SMALL_STATE(682)] = 42749, + [SMALL_STATE(683)] = 42852, + [SMALL_STATE(684)] = 42955, + [SMALL_STATE(685)] = 43016, + [SMALL_STATE(686)] = 43119, + [SMALL_STATE(687)] = 43222, + [SMALL_STATE(688)] = 43283, + [SMALL_STATE(689)] = 43386, + [SMALL_STATE(690)] = 43489, + [SMALL_STATE(691)] = 43592, + [SMALL_STATE(692)] = 43653, + [SMALL_STATE(693)] = 43710, + [SMALL_STATE(694)] = 43767, + [SMALL_STATE(695)] = 43824, + [SMALL_STATE(696)] = 43927, + [SMALL_STATE(697)] = 43984, + [SMALL_STATE(698)] = 44041, + [SMALL_STATE(699)] = 44100, + [SMALL_STATE(700)] = 44159, + [SMALL_STATE(701)] = 44216, + [SMALL_STATE(702)] = 44273, + [SMALL_STATE(703)] = 44376, + [SMALL_STATE(704)] = 44479, + [SMALL_STATE(705)] = 44582, + [SMALL_STATE(706)] = 44685, + [SMALL_STATE(707)] = 44788, + [SMALL_STATE(708)] = 44891, + [SMALL_STATE(709)] = 44994, + [SMALL_STATE(710)] = 45097, + [SMALL_STATE(711)] = 45154, + [SMALL_STATE(712)] = 45211, + [SMALL_STATE(713)] = 45310, + [SMALL_STATE(714)] = 45413, + [SMALL_STATE(715)] = 45470, + [SMALL_STATE(716)] = 45573, + [SMALL_STATE(717)] = 45642, + [SMALL_STATE(718)] = 45699, + [SMALL_STATE(719)] = 45778, + [SMALL_STATE(720)] = 45859, + [SMALL_STATE(721)] = 45916, + [SMALL_STATE(722)] = 45981, + [SMALL_STATE(723)] = 46040, + [SMALL_STATE(724)] = 46101, + [SMALL_STATE(725)] = 46158, + [SMALL_STATE(726)] = 46261, + [SMALL_STATE(727)] = 46318, + [SMALL_STATE(728)] = 46421, + [SMALL_STATE(729)] = 46478, + [SMALL_STATE(730)] = 46535, + [SMALL_STATE(731)] = 46592, + [SMALL_STATE(732)] = 46695, + [SMALL_STATE(733)] = 46798, + [SMALL_STATE(734)] = 46855, + [SMALL_STATE(735)] = 46958, + [SMALL_STATE(736)] = 47061, + [SMALL_STATE(737)] = 47164, + [SMALL_STATE(738)] = 47267, + [SMALL_STATE(739)] = 47370, + [SMALL_STATE(740)] = 47473, + [SMALL_STATE(741)] = 47530, + [SMALL_STATE(742)] = 47613, + [SMALL_STATE(743)] = 47670, + [SMALL_STATE(744)] = 47773, + [SMALL_STATE(745)] = 47830, + [SMALL_STATE(746)] = 47933, + [SMALL_STATE(747)] = 48036, + [SMALL_STATE(748)] = 48139, + [SMALL_STATE(749)] = 48242, + [SMALL_STATE(750)] = 48345, + [SMALL_STATE(751)] = 48448, + [SMALL_STATE(752)] = 48551, + [SMALL_STATE(753)] = 48654, + [SMALL_STATE(754)] = 48757, + [SMALL_STATE(755)] = 48860, + [SMALL_STATE(756)] = 48963, + [SMALL_STATE(757)] = 49066, + [SMALL_STATE(758)] = 49169, + [SMALL_STATE(759)] = 49272, + [SMALL_STATE(760)] = 49375, + [SMALL_STATE(761)] = 49478, + [SMALL_STATE(762)] = 49581, + [SMALL_STATE(763)] = 49684, + [SMALL_STATE(764)] = 49787, + [SMALL_STATE(765)] = 49890, + [SMALL_STATE(766)] = 49993, + [SMALL_STATE(767)] = 50096, + [SMALL_STATE(768)] = 50199, + [SMALL_STATE(769)] = 50302, + [SMALL_STATE(770)] = 50405, + [SMALL_STATE(771)] = 50508, + [SMALL_STATE(772)] = 50611, + [SMALL_STATE(773)] = 50714, + [SMALL_STATE(774)] = 50817, + [SMALL_STATE(775)] = 50920, + [SMALL_STATE(776)] = 51023, + [SMALL_STATE(777)] = 51126, + [SMALL_STATE(778)] = 51229, + [SMALL_STATE(779)] = 51332, + [SMALL_STATE(780)] = 51435, + [SMALL_STATE(781)] = 51538, + [SMALL_STATE(782)] = 51641, + [SMALL_STATE(783)] = 51744, + [SMALL_STATE(784)] = 51847, + [SMALL_STATE(785)] = 51950, + [SMALL_STATE(786)] = 52053, + [SMALL_STATE(787)] = 52156, + [SMALL_STATE(788)] = 52259, + [SMALL_STATE(789)] = 52362, + [SMALL_STATE(790)] = 52465, + [SMALL_STATE(791)] = 52568, + [SMALL_STATE(792)] = 52671, + [SMALL_STATE(793)] = 52774, + [SMALL_STATE(794)] = 52877, + [SMALL_STATE(795)] = 52980, + [SMALL_STATE(796)] = 53083, + [SMALL_STATE(797)] = 53186, + [SMALL_STATE(798)] = 53289, + [SMALL_STATE(799)] = 53392, + [SMALL_STATE(800)] = 53495, + [SMALL_STATE(801)] = 53598, + [SMALL_STATE(802)] = 53701, + [SMALL_STATE(803)] = 53804, + [SMALL_STATE(804)] = 53907, + [SMALL_STATE(805)] = 54010, + [SMALL_STATE(806)] = 54113, + [SMALL_STATE(807)] = 54216, + [SMALL_STATE(808)] = 54277, + [SMALL_STATE(809)] = 54380, + [SMALL_STATE(810)] = 54483, + [SMALL_STATE(811)] = 54586, + [SMALL_STATE(812)] = 54689, + [SMALL_STATE(813)] = 54792, + [SMALL_STATE(814)] = 54853, + [SMALL_STATE(815)] = 54956, + [SMALL_STATE(816)] = 55059, + [SMALL_STATE(817)] = 55162, + [SMALL_STATE(818)] = 55265, + [SMALL_STATE(819)] = 55368, + [SMALL_STATE(820)] = 55471, + [SMALL_STATE(821)] = 55574, + [SMALL_STATE(822)] = 55677, + [SMALL_STATE(823)] = 55780, + [SMALL_STATE(824)] = 55883, + [SMALL_STATE(825)] = 55986, + [SMALL_STATE(826)] = 56089, + [SMALL_STATE(827)] = 56192, + [SMALL_STATE(828)] = 56295, + [SMALL_STATE(829)] = 56398, + [SMALL_STATE(830)] = 56501, + [SMALL_STATE(831)] = 56604, + [SMALL_STATE(832)] = 56707, + [SMALL_STATE(833)] = 56810, + [SMALL_STATE(834)] = 56913, + [SMALL_STATE(835)] = 57016, + [SMALL_STATE(836)] = 57119, + [SMALL_STATE(837)] = 57222, + [SMALL_STATE(838)] = 57325, + [SMALL_STATE(839)] = 57428, + [SMALL_STATE(840)] = 57531, + [SMALL_STATE(841)] = 57634, + [SMALL_STATE(842)] = 57737, + [SMALL_STATE(843)] = 57840, + [SMALL_STATE(844)] = 57943, + [SMALL_STATE(845)] = 58046, + [SMALL_STATE(846)] = 58149, + [SMALL_STATE(847)] = 58252, + [SMALL_STATE(848)] = 58355, + [SMALL_STATE(849)] = 58412, + [SMALL_STATE(850)] = 58515, + [SMALL_STATE(851)] = 58618, + [SMALL_STATE(852)] = 58721, + [SMALL_STATE(853)] = 58824, + [SMALL_STATE(854)] = 58927, + [SMALL_STATE(855)] = 59030, + [SMALL_STATE(856)] = 59133, + [SMALL_STATE(857)] = 59236, + [SMALL_STATE(858)] = 59339, + [SMALL_STATE(859)] = 59442, + [SMALL_STATE(860)] = 59545, + [SMALL_STATE(861)] = 59648, + [SMALL_STATE(862)] = 59751, + [SMALL_STATE(863)] = 59812, + [SMALL_STATE(864)] = 59915, + [SMALL_STATE(865)] = 60018, + [SMALL_STATE(866)] = 60121, + [SMALL_STATE(867)] = 60224, + [SMALL_STATE(868)] = 60327, + [SMALL_STATE(869)] = 60388, + [SMALL_STATE(870)] = 60491, + [SMALL_STATE(871)] = 60594, + [SMALL_STATE(872)] = 60697, + [SMALL_STATE(873)] = 60800, + [SMALL_STATE(874)] = 60903, + [SMALL_STATE(875)] = 61006, + [SMALL_STATE(876)] = 61109, + [SMALL_STATE(877)] = 61170, + [SMALL_STATE(878)] = 61273, + [SMALL_STATE(879)] = 61376, + [SMALL_STATE(880)] = 61479, + [SMALL_STATE(881)] = 61582, + [SMALL_STATE(882)] = 61685, + [SMALL_STATE(883)] = 61788, + [SMALL_STATE(884)] = 61891, + [SMALL_STATE(885)] = 61994, + [SMALL_STATE(886)] = 62097, + [SMALL_STATE(887)] = 62200, + [SMALL_STATE(888)] = 62303, + [SMALL_STATE(889)] = 62406, + [SMALL_STATE(890)] = 62509, + [SMALL_STATE(891)] = 62612, + [SMALL_STATE(892)] = 62715, + [SMALL_STATE(893)] = 62818, + [SMALL_STATE(894)] = 62921, + [SMALL_STATE(895)] = 63024, + [SMALL_STATE(896)] = 63127, + [SMALL_STATE(897)] = 63230, + [SMALL_STATE(898)] = 63333, + [SMALL_STATE(899)] = 63436, + [SMALL_STATE(900)] = 63539, + [SMALL_STATE(901)] = 63642, + [SMALL_STATE(902)] = 63745, + [SMALL_STATE(903)] = 63848, + [SMALL_STATE(904)] = 63951, + [SMALL_STATE(905)] = 64054, + [SMALL_STATE(906)] = 64157, + [SMALL_STATE(907)] = 64260, + [SMALL_STATE(908)] = 64363, + [SMALL_STATE(909)] = 64466, + [SMALL_STATE(910)] = 64569, + [SMALL_STATE(911)] = 64672, + [SMALL_STATE(912)] = 64775, + [SMALL_STATE(913)] = 64878, + [SMALL_STATE(914)] = 64981, + [SMALL_STATE(915)] = 65084, + [SMALL_STATE(916)] = 65187, + [SMALL_STATE(917)] = 65290, + [SMALL_STATE(918)] = 65393, + [SMALL_STATE(919)] = 65496, + [SMALL_STATE(920)] = 65599, + [SMALL_STATE(921)] = 65702, + [SMALL_STATE(922)] = 65805, + [SMALL_STATE(923)] = 65908, + [SMALL_STATE(924)] = 66011, + [SMALL_STATE(925)] = 66114, + [SMALL_STATE(926)] = 66217, + [SMALL_STATE(927)] = 66320, + [SMALL_STATE(928)] = 66423, + [SMALL_STATE(929)] = 66526, + [SMALL_STATE(930)] = 66629, + [SMALL_STATE(931)] = 66732, + [SMALL_STATE(932)] = 66835, + [SMALL_STATE(933)] = 66938, + [SMALL_STATE(934)] = 67041, + [SMALL_STATE(935)] = 67144, + [SMALL_STATE(936)] = 67247, + [SMALL_STATE(937)] = 67350, + [SMALL_STATE(938)] = 67453, + [SMALL_STATE(939)] = 67556, + [SMALL_STATE(940)] = 67659, + [SMALL_STATE(941)] = 67762, + [SMALL_STATE(942)] = 67865, + [SMALL_STATE(943)] = 67968, + [SMALL_STATE(944)] = 68071, + [SMALL_STATE(945)] = 68174, + [SMALL_STATE(946)] = 68277, + [SMALL_STATE(947)] = 68380, + [SMALL_STATE(948)] = 68483, + [SMALL_STATE(949)] = 68586, + [SMALL_STATE(950)] = 68689, + [SMALL_STATE(951)] = 68792, + [SMALL_STATE(952)] = 68895, + [SMALL_STATE(953)] = 68998, + [SMALL_STATE(954)] = 69101, + [SMALL_STATE(955)] = 69161, + [SMALL_STATE(956)] = 69217, + [SMALL_STATE(957)] = 69273, + [SMALL_STATE(958)] = 69329, + [SMALL_STATE(959)] = 69385, + [SMALL_STATE(960)] = 69441, + [SMALL_STATE(961)] = 69497, + [SMALL_STATE(962)] = 69555, + [SMALL_STATE(963)] = 69613, + [SMALL_STATE(964)] = 69673, + [SMALL_STATE(965)] = 69733, + [SMALL_STATE(966)] = 69789, + [SMALL_STATE(967)] = 69845, + [SMALL_STATE(968)] = 69901, + [SMALL_STATE(969)] = 69957, + [SMALL_STATE(970)] = 70013, + [SMALL_STATE(971)] = 70069, + [SMALL_STATE(972)] = 70125, + [SMALL_STATE(973)] = 70181, + [SMALL_STATE(974)] = 70237, + [SMALL_STATE(975)] = 70293, + [SMALL_STATE(976)] = 70349, + [SMALL_STATE(977)] = 70405, + [SMALL_STATE(978)] = 70461, + [SMALL_STATE(979)] = 70559, + [SMALL_STATE(980)] = 70619, + [SMALL_STATE(981)] = 70675, + [SMALL_STATE(982)] = 70730, + [SMALL_STATE(983)] = 70785, + [SMALL_STATE(984)] = 70868, + [SMALL_STATE(985)] = 70923, + [SMALL_STATE(986)] = 71006, + [SMALL_STATE(987)] = 71061, + [SMALL_STATE(988)] = 71116, + [SMALL_STATE(989)] = 71199, + [SMALL_STATE(990)] = 71254, + [SMALL_STATE(991)] = 71317, + [SMALL_STATE(992)] = 71382, + [SMALL_STATE(993)] = 71437, + [SMALL_STATE(994)] = 71492, + [SMALL_STATE(995)] = 71547, + [SMALL_STATE(996)] = 71602, + [SMALL_STATE(997)] = 71659, + [SMALL_STATE(998)] = 71714, + [SMALL_STATE(999)] = 71769, + [SMALL_STATE(1000)] = 71826, + [SMALL_STATE(1001)] = 71881, + [SMALL_STATE(1002)] = 71936, + [SMALL_STATE(1003)] = 72019, + [SMALL_STATE(1004)] = 72074, + [SMALL_STATE(1005)] = 72131, + [SMALL_STATE(1006)] = 72188, + [SMALL_STATE(1007)] = 72243, + [SMALL_STATE(1008)] = 72298, + [SMALL_STATE(1009)] = 72353, + [SMALL_STATE(1010)] = 72408, + [SMALL_STATE(1011)] = 72489, + [SMALL_STATE(1012)] = 72544, + [SMALL_STATE(1013)] = 72599, + [SMALL_STATE(1014)] = 72654, + [SMALL_STATE(1015)] = 72721, + [SMALL_STATE(1016)] = 72776, + [SMALL_STATE(1017)] = 72855, + [SMALL_STATE(1018)] = 72932, + [SMALL_STATE(1019)] = 72987, + [SMALL_STATE(1020)] = 73042, + [SMALL_STATE(1021)] = 73097, + [SMALL_STATE(1022)] = 73152, + [SMALL_STATE(1023)] = 73211, + [SMALL_STATE(1024)] = 73268, + [SMALL_STATE(1025)] = 73323, + [SMALL_STATE(1026)] = 73378, + [SMALL_STATE(1027)] = 73433, + [SMALL_STATE(1028)] = 73496, + [SMALL_STATE(1029)] = 73551, + [SMALL_STATE(1030)] = 73606, + [SMALL_STATE(1031)] = 73661, + [SMALL_STATE(1032)] = 73716, + [SMALL_STATE(1033)] = 73771, + [SMALL_STATE(1034)] = 73826, + [SMALL_STATE(1035)] = 73881, + [SMALL_STATE(1036)] = 73936, + [SMALL_STATE(1037)] = 73991, + [SMALL_STATE(1038)] = 74046, + [SMALL_STATE(1039)] = 74101, + [SMALL_STATE(1040)] = 74163, + [SMALL_STATE(1041)] = 74217, + [SMALL_STATE(1042)] = 74271, + [SMALL_STATE(1043)] = 74325, + [SMALL_STATE(1044)] = 74387, + [SMALL_STATE(1045)] = 74443, + [SMALL_STATE(1046)] = 74499, + [SMALL_STATE(1047)] = 74557, + [SMALL_STATE(1048)] = 74611, + [SMALL_STATE(1049)] = 74691, + [SMALL_STATE(1050)] = 74745, + [SMALL_STATE(1051)] = 74803, + [SMALL_STATE(1052)] = 74857, + [SMALL_STATE(1053)] = 74913, + [SMALL_STATE(1054)] = 74967, + [SMALL_STATE(1055)] = 75025, + [SMALL_STATE(1056)] = 75079, + [SMALL_STATE(1057)] = 75133, + [SMALL_STATE(1058)] = 75187, + [SMALL_STATE(1059)] = 75245, + [SMALL_STATE(1060)] = 75299, + [SMALL_STATE(1061)] = 75353, + [SMALL_STATE(1062)] = 75407, + [SMALL_STATE(1063)] = 75473, + [SMALL_STATE(1064)] = 75527, + [SMALL_STATE(1065)] = 75585, + [SMALL_STATE(1066)] = 75639, + [SMALL_STATE(1067)] = 75693, + [SMALL_STATE(1068)] = 75771, + [SMALL_STATE(1069)] = 75825, + [SMALL_STATE(1070)] = 75879, + [SMALL_STATE(1071)] = 75937, + [SMALL_STATE(1072)] = 75991, + [SMALL_STATE(1073)] = 76045, + [SMALL_STATE(1074)] = 76103, + [SMALL_STATE(1075)] = 76161, + [SMALL_STATE(1076)] = 76215, + [SMALL_STATE(1077)] = 76291, + [SMALL_STATE(1078)] = 76349, + [SMALL_STATE(1079)] = 76402, + [SMALL_STATE(1080)] = 76461, + [SMALL_STATE(1081)] = 76514, + [SMALL_STATE(1082)] = 76571, + [SMALL_STATE(1083)] = 76630, + [SMALL_STATE(1084)] = 76687, + [SMALL_STATE(1085)] = 76740, + [SMALL_STATE(1086)] = 76793, + [SMALL_STATE(1087)] = 76846, + [SMALL_STATE(1088)] = 76899, + [SMALL_STATE(1089)] = 76952, + [SMALL_STATE(1090)] = 77005, + [SMALL_STATE(1091)] = 77058, + [SMALL_STATE(1092)] = 77118, + [SMALL_STATE(1093)] = 77170, + [SMALL_STATE(1094)] = 77226, + [SMALL_STATE(1095)] = 77278, + [SMALL_STATE(1096)] = 77340, + [SMALL_STATE(1097)] = 77402, + [SMALL_STATE(1098)] = 77458, + [SMALL_STATE(1099)] = 77518, + [SMALL_STATE(1100)] = 77574, + [SMALL_STATE(1101)] = 77630, + [SMALL_STATE(1102)] = 77686, + [SMALL_STATE(1103)] = 77786, + [SMALL_STATE(1104)] = 77842, + [SMALL_STATE(1105)] = 77898, + [SMALL_STATE(1106)] = 77950, + [SMALL_STATE(1107)] = 78001, + [SMALL_STATE(1108)] = 78056, + [SMALL_STATE(1109)] = 78111, + [SMALL_STATE(1110)] = 78202, + [SMALL_STATE(1111)] = 78253, + [SMALL_STATE(1112)] = 78332, + [SMALL_STATE(1113)] = 78383, + [SMALL_STATE(1114)] = 78434, + [SMALL_STATE(1115)] = 78529, + [SMALL_STATE(1116)] = 78580, + [SMALL_STATE(1117)] = 78631, + [SMALL_STATE(1118)] = 78686, + [SMALL_STATE(1119)] = 78741, + [SMALL_STATE(1120)] = 78796, + [SMALL_STATE(1121)] = 78847, + [SMALL_STATE(1122)] = 78938, + [SMALL_STATE(1123)] = 78997, + [SMALL_STATE(1124)] = 79056, + [SMALL_STATE(1125)] = 79110, + [SMALL_STATE(1126)] = 79160, + [SMALL_STATE(1127)] = 79210, + [SMALL_STATE(1128)] = 79266, + [SMALL_STATE(1129)] = 79316, + [SMALL_STATE(1130)] = 79366, + [SMALL_STATE(1131)] = 79416, + [SMALL_STATE(1132)] = 79474, + [SMALL_STATE(1133)] = 79524, + [SMALL_STATE(1134)] = 79574, + [SMALL_STATE(1135)] = 79634, + [SMALL_STATE(1136)] = 79686, + [SMALL_STATE(1137)] = 79736, + [SMALL_STATE(1138)] = 79786, + [SMALL_STATE(1139)] = 79836, + [SMALL_STATE(1140)] = 79888, + [SMALL_STATE(1141)] = 79948, + [SMALL_STATE(1142)] = 79998, + [SMALL_STATE(1143)] = 80052, + [SMALL_STATE(1144)] = 80102, + [SMALL_STATE(1145)] = 80152, + [SMALL_STATE(1146)] = 80210, + [SMALL_STATE(1147)] = 80260, + [SMALL_STATE(1148)] = 80310, + [SMALL_STATE(1149)] = 80360, + [SMALL_STATE(1150)] = 80416, + [SMALL_STATE(1151)] = 80466, + [SMALL_STATE(1152)] = 80516, + [SMALL_STATE(1153)] = 80570, + [SMALL_STATE(1154)] = 80626, + [SMALL_STATE(1155)] = 80676, + [SMALL_STATE(1156)] = 80726, + [SMALL_STATE(1157)] = 80782, + [SMALL_STATE(1158)] = 80832, + [SMALL_STATE(1159)] = 80886, + [SMALL_STATE(1160)] = 80936, + [SMALL_STATE(1161)] = 80986, + [SMALL_STATE(1162)] = 81035, + [SMALL_STATE(1163)] = 81108, + [SMALL_STATE(1164)] = 81157, + [SMALL_STATE(1165)] = 81206, + [SMALL_STATE(1166)] = 81255, + [SMALL_STATE(1167)] = 81304, + [SMALL_STATE(1168)] = 81363, + [SMALL_STATE(1169)] = 81440, + [SMALL_STATE(1170)] = 81489, + [SMALL_STATE(1171)] = 81538, + [SMALL_STATE(1172)] = 81591, + [SMALL_STATE(1173)] = 81644, + [SMALL_STATE(1174)] = 81701, + [SMALL_STATE(1175)] = 81752, + [SMALL_STATE(1176)] = 81805, + [SMALL_STATE(1177)] = 81858, + [SMALL_STATE(1178)] = 81907, + [SMALL_STATE(1179)] = 81964, + [SMALL_STATE(1180)] = 82017, + [SMALL_STATE(1181)] = 82070, + [SMALL_STATE(1182)] = 82119, + [SMALL_STATE(1183)] = 82194, + [SMALL_STATE(1184)] = 82243, + [SMALL_STATE(1185)] = 82292, + [SMALL_STATE(1186)] = 82345, + [SMALL_STATE(1187)] = 82402, + [SMALL_STATE(1188)] = 82451, + [SMALL_STATE(1189)] = 82500, + [SMALL_STATE(1190)] = 82549, + [SMALL_STATE(1191)] = 82598, + [SMALL_STATE(1192)] = 82647, + [SMALL_STATE(1193)] = 82696, + [SMALL_STATE(1194)] = 82749, + [SMALL_STATE(1195)] = 82798, + [SMALL_STATE(1196)] = 82851, + [SMALL_STATE(1197)] = 82922, + [SMALL_STATE(1198)] = 82973, + [SMALL_STATE(1199)] = 83024, + [SMALL_STATE(1200)] = 83073, + [SMALL_STATE(1201)] = 83122, + [SMALL_STATE(1202)] = 83171, + [SMALL_STATE(1203)] = 83220, + [SMALL_STATE(1204)] = 83277, + [SMALL_STATE(1205)] = 83338, + [SMALL_STATE(1206)] = 83387, + [SMALL_STATE(1207)] = 83464, + [SMALL_STATE(1208)] = 83513, + [SMALL_STATE(1209)] = 83566, + [SMALL_STATE(1210)] = 83615, + [SMALL_STATE(1211)] = 83689, + [SMALL_STATE(1212)] = 83779, + [SMALL_STATE(1213)] = 83827, + [SMALL_STATE(1214)] = 83881, + [SMALL_STATE(1215)] = 83933, + [SMALL_STATE(1216)] = 83987, + [SMALL_STATE(1217)] = 84039, + [SMALL_STATE(1218)] = 84097, + [SMALL_STATE(1219)] = 84149, + [SMALL_STATE(1220)] = 84201, + [SMALL_STATE(1221)] = 84253, + [SMALL_STATE(1222)] = 84305, + [SMALL_STATE(1223)] = 84357, + [SMALL_STATE(1224)] = 84411, + [SMALL_STATE(1225)] = 84463, + [SMALL_STATE(1226)] = 84519, + [SMALL_STATE(1227)] = 84571, + [SMALL_STATE(1228)] = 84625, + [SMALL_STATE(1229)] = 84677, + [SMALL_STATE(1230)] = 84724, + [SMALL_STATE(1231)] = 84771, + [SMALL_STATE(1232)] = 84826, + [SMALL_STATE(1233)] = 84877, + [SMALL_STATE(1234)] = 84924, + [SMALL_STATE(1235)] = 84971, + [SMALL_STATE(1236)] = 85018, + [SMALL_STATE(1237)] = 85065, + [SMALL_STATE(1238)] = 85112, + [SMALL_STATE(1239)] = 85159, + [SMALL_STATE(1240)] = 85206, + [SMALL_STATE(1241)] = 85253, + [SMALL_STATE(1242)] = 85300, + [SMALL_STATE(1243)] = 85347, + [SMALL_STATE(1244)] = 85394, + [SMALL_STATE(1245)] = 85441, + [SMALL_STATE(1246)] = 85488, + [SMALL_STATE(1247)] = 85535, + [SMALL_STATE(1248)] = 85582, + [SMALL_STATE(1249)] = 85629, + [SMALL_STATE(1250)] = 85680, + [SMALL_STATE(1251)] = 85727, + [SMALL_STATE(1252)] = 85774, + [SMALL_STATE(1253)] = 85821, + [SMALL_STATE(1254)] = 85868, + [SMALL_STATE(1255)] = 85915, + [SMALL_STATE(1256)] = 85962, + [SMALL_STATE(1257)] = 86013, + [SMALL_STATE(1258)] = 86060, + [SMALL_STATE(1259)] = 86107, + [SMALL_STATE(1260)] = 86154, + [SMALL_STATE(1261)] = 86207, + [SMALL_STATE(1262)] = 86254, + [SMALL_STATE(1263)] = 86301, + [SMALL_STATE(1264)] = 86354, + [SMALL_STATE(1265)] = 86401, + [SMALL_STATE(1266)] = 86448, + [SMALL_STATE(1267)] = 86499, + [SMALL_STATE(1268)] = 86548, + [SMALL_STATE(1269)] = 86597, + [SMALL_STATE(1270)] = 86644, + [SMALL_STATE(1271)] = 86691, + [SMALL_STATE(1272)] = 86742, + [SMALL_STATE(1273)] = 86789, + [SMALL_STATE(1274)] = 86836, + [SMALL_STATE(1275)] = 86887, + [SMALL_STATE(1276)] = 86934, + [SMALL_STATE(1277)] = 86981, + [SMALL_STATE(1278)] = 87028, + [SMALL_STATE(1279)] = 87077, + [SMALL_STATE(1280)] = 87126, + [SMALL_STATE(1281)] = 87173, + [SMALL_STATE(1282)] = 87220, + [SMALL_STATE(1283)] = 87267, + [SMALL_STATE(1284)] = 87313, + [SMALL_STATE(1285)] = 87371, + [SMALL_STATE(1286)] = 87417, + [SMALL_STATE(1287)] = 87463, + [SMALL_STATE(1288)] = 87509, + [SMALL_STATE(1289)] = 87555, + [SMALL_STATE(1290)] = 87605, + [SMALL_STATE(1291)] = 87651, + [SMALL_STATE(1292)] = 87737, + [SMALL_STATE(1293)] = 87785, + [SMALL_STATE(1294)] = 87833, + [SMALL_STATE(1295)] = 87879, + [SMALL_STATE(1296)] = 87925, + [SMALL_STATE(1297)] = 87971, + [SMALL_STATE(1298)] = 88017, + [SMALL_STATE(1299)] = 88063, + [SMALL_STATE(1300)] = 88109, + [SMALL_STATE(1301)] = 88177, + [SMALL_STATE(1302)] = 88223, + [SMALL_STATE(1303)] = 88295, + [SMALL_STATE(1304)] = 88341, + [SMALL_STATE(1305)] = 88391, + [SMALL_STATE(1306)] = 88437, + [SMALL_STATE(1307)] = 88483, + [SMALL_STATE(1308)] = 88529, + [SMALL_STATE(1309)] = 88575, + [SMALL_STATE(1310)] = 88625, + [SMALL_STATE(1311)] = 88695, + [SMALL_STATE(1312)] = 88741, + [SMALL_STATE(1313)] = 88791, + [SMALL_STATE(1314)] = 88839, + [SMALL_STATE(1315)] = 88893, + [SMALL_STATE(1316)] = 88939, + [SMALL_STATE(1317)] = 89009, + [SMALL_STATE(1318)] = 89077, + [SMALL_STATE(1319)] = 89127, + [SMALL_STATE(1320)] = 89173, + [SMALL_STATE(1321)] = 89231, + [SMALL_STATE(1322)] = 89277, + [SMALL_STATE(1323)] = 89323, + [SMALL_STATE(1324)] = 89369, + [SMALL_STATE(1325)] = 89415, + [SMALL_STATE(1326)] = 89469, + [SMALL_STATE(1327)] = 89515, + [SMALL_STATE(1328)] = 89561, + [SMALL_STATE(1329)] = 89611, + [SMALL_STATE(1330)] = 89657, + [SMALL_STATE(1331)] = 89705, + [SMALL_STATE(1332)] = 89753, + [SMALL_STATE(1333)] = 89801, + [SMALL_STATE(1334)] = 89851, + [SMALL_STATE(1335)] = 89897, + [SMALL_STATE(1336)] = 89943, + [SMALL_STATE(1337)] = 89989, + [SMALL_STATE(1338)] = 90035, + [SMALL_STATE(1339)] = 90081, + [SMALL_STATE(1340)] = 90131, + [SMALL_STATE(1341)] = 90181, + [SMALL_STATE(1342)] = 90227, + [SMALL_STATE(1343)] = 90277, + [SMALL_STATE(1344)] = 90323, + [SMALL_STATE(1345)] = 90369, + [SMALL_STATE(1346)] = 90415, + [SMALL_STATE(1347)] = 90461, + [SMALL_STATE(1348)] = 90511, + [SMALL_STATE(1349)] = 90561, + [SMALL_STATE(1350)] = 90607, + [SMALL_STATE(1351)] = 90653, + [SMALL_STATE(1352)] = 90725, + [SMALL_STATE(1353)] = 90771, + [SMALL_STATE(1354)] = 90817, + [SMALL_STATE(1355)] = 90867, + [SMALL_STATE(1356)] = 90935, + [SMALL_STATE(1357)] = 91003, + [SMALL_STATE(1358)] = 91055, + [SMALL_STATE(1359)] = 91107, + [SMALL_STATE(1360)] = 91156, + [SMALL_STATE(1361)] = 91201, + [SMALL_STATE(1362)] = 91246, + [SMALL_STATE(1363)] = 91291, + [SMALL_STATE(1364)] = 91340, + [SMALL_STATE(1365)] = 91385, + [SMALL_STATE(1366)] = 91430, + [SMALL_STATE(1367)] = 91475, + [SMALL_STATE(1368)] = 91520, + [SMALL_STATE(1369)] = 91565, + [SMALL_STATE(1370)] = 91610, + [SMALL_STATE(1371)] = 91655, + [SMALL_STATE(1372)] = 91732, + [SMALL_STATE(1373)] = 91779, + [SMALL_STATE(1374)] = 91824, + [SMALL_STATE(1375)] = 91869, + [SMALL_STATE(1376)] = 91916, + [SMALL_STATE(1377)] = 91961, + [SMALL_STATE(1378)] = 92010, + [SMALL_STATE(1379)] = 92059, + [SMALL_STATE(1380)] = 92104, + [SMALL_STATE(1381)] = 92149, + [SMALL_STATE(1382)] = 92194, + [SMALL_STATE(1383)] = 92239, + [SMALL_STATE(1384)] = 92284, + [SMALL_STATE(1385)] = 92329, + [SMALL_STATE(1386)] = 92374, + [SMALL_STATE(1387)] = 92419, + [SMALL_STATE(1388)] = 92464, + [SMALL_STATE(1389)] = 92509, + [SMALL_STATE(1390)] = 92554, + [SMALL_STATE(1391)] = 92599, + [SMALL_STATE(1392)] = 92644, + [SMALL_STATE(1393)] = 92689, + [SMALL_STATE(1394)] = 92734, + [SMALL_STATE(1395)] = 92779, + [SMALL_STATE(1396)] = 92828, + [SMALL_STATE(1397)] = 92877, + [SMALL_STATE(1398)] = 92922, + [SMALL_STATE(1399)] = 92971, + [SMALL_STATE(1400)] = 93020, + [SMALL_STATE(1401)] = 93065, + [SMALL_STATE(1402)] = 93112, + [SMALL_STATE(1403)] = 93159, + [SMALL_STATE(1404)] = 93204, + [SMALL_STATE(1405)] = 93253, + [SMALL_STATE(1406)] = 93298, + [SMALL_STATE(1407)] = 93343, + [SMALL_STATE(1408)] = 93388, + [SMALL_STATE(1409)] = 93433, + [SMALL_STATE(1410)] = 93482, + [SMALL_STATE(1411)] = 93527, + [SMALL_STATE(1412)] = 93572, + [SMALL_STATE(1413)] = 93617, + [SMALL_STATE(1414)] = 93662, + [SMALL_STATE(1415)] = 93706, + [SMALL_STATE(1416)] = 93752, + [SMALL_STATE(1417)] = 93796, + [SMALL_STATE(1418)] = 93866, + [SMALL_STATE(1419)] = 93910, + [SMALL_STATE(1420)] = 93954, + [SMALL_STATE(1421)] = 93998, + [SMALL_STATE(1422)] = 94042, + [SMALL_STATE(1423)] = 94086, + [SMALL_STATE(1424)] = 94130, + [SMALL_STATE(1425)] = 94174, + [SMALL_STATE(1426)] = 94218, + [SMALL_STATE(1427)] = 94262, + [SMALL_STATE(1428)] = 94306, + [SMALL_STATE(1429)] = 94376, + [SMALL_STATE(1430)] = 94420, + [SMALL_STATE(1431)] = 94464, + [SMALL_STATE(1432)] = 94512, + [SMALL_STATE(1433)] = 94558, + [SMALL_STATE(1434)] = 94602, + [SMALL_STATE(1435)] = 94654, + [SMALL_STATE(1436)] = 94722, + [SMALL_STATE(1437)] = 94788, + [SMALL_STATE(1438)] = 94832, + [SMALL_STATE(1439)] = 94876, + [SMALL_STATE(1440)] = 94932, + [SMALL_STATE(1441)] = 94980, + [SMALL_STATE(1442)] = 95026, + [SMALL_STATE(1443)] = 95078, + [SMALL_STATE(1444)] = 95122, + [SMALL_STATE(1445)] = 95166, + [SMALL_STATE(1446)] = 95210, + [SMALL_STATE(1447)] = 95254, + [SMALL_STATE(1448)] = 95302, + [SMALL_STATE(1449)] = 95370, + [SMALL_STATE(1450)] = 95414, + [SMALL_STATE(1451)] = 95458, + [SMALL_STATE(1452)] = 95524, + [SMALL_STATE(1453)] = 95568, + [SMALL_STATE(1454)] = 95614, + [SMALL_STATE(1455)] = 95660, + [SMALL_STATE(1456)] = 95704, + [SMALL_STATE(1457)] = 95748, + [SMALL_STATE(1458)] = 95792, + [SMALL_STATE(1459)] = 95836, + [SMALL_STATE(1460)] = 95880, + [SMALL_STATE(1461)] = 95924, + [SMALL_STATE(1462)] = 95968, + [SMALL_STATE(1463)] = 96012, + [SMALL_STATE(1464)] = 96056, + [SMALL_STATE(1465)] = 96112, + [SMALL_STATE(1466)] = 96156, + [SMALL_STATE(1467)] = 96202, + [SMALL_STATE(1468)] = 96246, + [SMALL_STATE(1469)] = 96318, + [SMALL_STATE(1470)] = 96362, + [SMALL_STATE(1471)] = 96406, + [SMALL_STATE(1472)] = 96450, + [SMALL_STATE(1473)] = 96494, + [SMALL_STATE(1474)] = 96538, + [SMALL_STATE(1475)] = 96582, + [SMALL_STATE(1476)] = 96626, + [SMALL_STATE(1477)] = 96670, + [SMALL_STATE(1478)] = 96714, + [SMALL_STATE(1479)] = 96758, + [SMALL_STATE(1480)] = 96802, + [SMALL_STATE(1481)] = 96846, + [SMALL_STATE(1482)] = 96890, + [SMALL_STATE(1483)] = 96934, + [SMALL_STATE(1484)] = 96978, + [SMALL_STATE(1485)] = 97022, + [SMALL_STATE(1486)] = 97066, + [SMALL_STATE(1487)] = 97110, + [SMALL_STATE(1488)] = 97154, + [SMALL_STATE(1489)] = 97198, + [SMALL_STATE(1490)] = 97242, + [SMALL_STATE(1491)] = 97286, + [SMALL_STATE(1492)] = 97330, + [SMALL_STATE(1493)] = 97374, + [SMALL_STATE(1494)] = 97418, + [SMALL_STATE(1495)] = 97462, + [SMALL_STATE(1496)] = 97506, + [SMALL_STATE(1497)] = 97550, + [SMALL_STATE(1498)] = 97594, + [SMALL_STATE(1499)] = 97638, + [SMALL_STATE(1500)] = 97682, + [SMALL_STATE(1501)] = 97728, + [SMALL_STATE(1502)] = 97772, + [SMALL_STATE(1503)] = 97818, + [SMALL_STATE(1504)] = 97862, + [SMALL_STATE(1505)] = 97938, + [SMALL_STATE(1506)] = 97982, + [SMALL_STATE(1507)] = 98026, + [SMALL_STATE(1508)] = 98070, + [SMALL_STATE(1509)] = 98114, + [SMALL_STATE(1510)] = 98158, + [SMALL_STATE(1511)] = 98202, + [SMALL_STATE(1512)] = 98245, + [SMALL_STATE(1513)] = 98290, + [SMALL_STATE(1514)] = 98333, + [SMALL_STATE(1515)] = 98376, + [SMALL_STATE(1516)] = 98445, + [SMALL_STATE(1517)] = 98488, + [SMALL_STATE(1518)] = 98531, + [SMALL_STATE(1519)] = 98574, + [SMALL_STATE(1520)] = 98617, + [SMALL_STATE(1521)] = 98688, + [SMALL_STATE(1522)] = 98731, + [SMALL_STATE(1523)] = 98774, + [SMALL_STATE(1524)] = 98821, + [SMALL_STATE(1525)] = 98866, + [SMALL_STATE(1526)] = 98917, + [SMALL_STATE(1527)] = 98960, + [SMALL_STATE(1528)] = 99027, + [SMALL_STATE(1529)] = 99092, + [SMALL_STATE(1530)] = 99135, + [SMALL_STATE(1531)] = 99190, + [SMALL_STATE(1532)] = 99233, + [SMALL_STATE(1533)] = 99278, + [SMALL_STATE(1534)] = 99323, + [SMALL_STATE(1535)] = 99366, + [SMALL_STATE(1536)] = 99409, + [SMALL_STATE(1537)] = 99452, + [SMALL_STATE(1538)] = 99497, + [SMALL_STATE(1539)] = 99542, + [SMALL_STATE(1540)] = 99585, + [SMALL_STATE(1541)] = 99628, + [SMALL_STATE(1542)] = 99673, + [SMALL_STATE(1543)] = 99718, + [SMALL_STATE(1544)] = 99761, + [SMALL_STATE(1545)] = 99804, + [SMALL_STATE(1546)] = 99847, + [SMALL_STATE(1547)] = 99890, + [SMALL_STATE(1548)] = 99961, + [SMALL_STATE(1549)] = 100032, + [SMALL_STATE(1550)] = 100077, + [SMALL_STATE(1551)] = 100148, + [SMALL_STATE(1552)] = 100222, + [SMALL_STATE(1553)] = 100296, + [SMALL_STATE(1554)] = 100370, + [SMALL_STATE(1555)] = 100444, + [SMALL_STATE(1556)] = 100518, + [SMALL_STATE(1557)] = 100574, + [SMALL_STATE(1558)] = 100648, + [SMALL_STATE(1559)] = 100704, + [SMALL_STATE(1560)] = 100760, + [SMALL_STATE(1561)] = 100834, + [SMALL_STATE(1562)] = 100886, + [SMALL_STATE(1563)] = 100960, + [SMALL_STATE(1564)] = 101034, + [SMALL_STATE(1565)] = 101108, + [SMALL_STATE(1566)] = 101182, + [SMALL_STATE(1567)] = 101228, + [SMALL_STATE(1568)] = 101302, + [SMALL_STATE(1569)] = 101376, + [SMALL_STATE(1570)] = 101450, + [SMALL_STATE(1571)] = 101524, + [SMALL_STATE(1572)] = 101594, + [SMALL_STATE(1573)] = 101650, + [SMALL_STATE(1574)] = 101706, + [SMALL_STATE(1575)] = 101780, + [SMALL_STATE(1576)] = 101854, + [SMALL_STATE(1577)] = 101928, + [SMALL_STATE(1578)] = 102002, + [SMALL_STATE(1579)] = 102054, + [SMALL_STATE(1580)] = 102128, + [SMALL_STATE(1581)] = 102202, + [SMALL_STATE(1582)] = 102276, + [SMALL_STATE(1583)] = 102350, + [SMALL_STATE(1584)] = 102424, + [SMALL_STATE(1585)] = 102498, + [SMALL_STATE(1586)] = 102572, + [SMALL_STATE(1587)] = 102646, + [SMALL_STATE(1588)] = 102720, + [SMALL_STATE(1589)] = 102794, + [SMALL_STATE(1590)] = 102868, + [SMALL_STATE(1591)] = 102914, + [SMALL_STATE(1592)] = 102960, + [SMALL_STATE(1593)] = 103030, + [SMALL_STATE(1594)] = 103104, + [SMALL_STATE(1595)] = 103178, + [SMALL_STATE(1596)] = 103252, + [SMALL_STATE(1597)] = 103321, + [SMALL_STATE(1598)] = 103390, + [SMALL_STATE(1599)] = 103459, + [SMALL_STATE(1600)] = 103528, + [SMALL_STATE(1601)] = 103596, + [SMALL_STATE(1602)] = 103664, + [SMALL_STATE(1603)] = 103732, + [SMALL_STATE(1604)] = 103800, + [SMALL_STATE(1605)] = 103868, + [SMALL_STATE(1606)] = 103936, + [SMALL_STATE(1607)] = 104004, + [SMALL_STATE(1608)] = 104072, + [SMALL_STATE(1609)] = 104140, + [SMALL_STATE(1610)] = 104178, + [SMALL_STATE(1611)] = 104242, + [SMALL_STATE(1612)] = 104310, + [SMALL_STATE(1613)] = 104378, + [SMALL_STATE(1614)] = 104446, + [SMALL_STATE(1615)] = 104514, + [SMALL_STATE(1616)] = 104582, + [SMALL_STATE(1617)] = 104650, + [SMALL_STATE(1618)] = 104718, + [SMALL_STATE(1619)] = 104786, + [SMALL_STATE(1620)] = 104854, + [SMALL_STATE(1621)] = 104922, + [SMALL_STATE(1622)] = 104990, + [SMALL_STATE(1623)] = 105058, + [SMALL_STATE(1624)] = 105126, + [SMALL_STATE(1625)] = 105194, + [SMALL_STATE(1626)] = 105262, + [SMALL_STATE(1627)] = 105330, + [SMALL_STATE(1628)] = 105394, + [SMALL_STATE(1629)] = 105462, + [SMALL_STATE(1630)] = 105530, + [SMALL_STATE(1631)] = 105598, + [SMALL_STATE(1632)] = 105666, + [SMALL_STATE(1633)] = 105734, + [SMALL_STATE(1634)] = 105802, + [SMALL_STATE(1635)] = 105840, + [SMALL_STATE(1636)] = 105908, + [SMALL_STATE(1637)] = 105976, + [SMALL_STATE(1638)] = 106044, + [SMALL_STATE(1639)] = 106112, + [SMALL_STATE(1640)] = 106180, + [SMALL_STATE(1641)] = 106248, + [SMALL_STATE(1642)] = 106316, + [SMALL_STATE(1643)] = 106384, + [SMALL_STATE(1644)] = 106422, + [SMALL_STATE(1645)] = 106486, + [SMALL_STATE(1646)] = 106554, + [SMALL_STATE(1647)] = 106622, + [SMALL_STATE(1648)] = 106690, + [SMALL_STATE(1649)] = 106758, + [SMALL_STATE(1650)] = 106826, + [SMALL_STATE(1651)] = 106894, + [SMALL_STATE(1652)] = 106962, + [SMALL_STATE(1653)] = 107030, + [SMALL_STATE(1654)] = 107098, + [SMALL_STATE(1655)] = 107166, + [SMALL_STATE(1656)] = 107234, + [SMALL_STATE(1657)] = 107302, + [SMALL_STATE(1658)] = 107343, + [SMALL_STATE(1659)] = 107386, + [SMALL_STATE(1660)] = 107429, + [SMALL_STATE(1661)] = 107466, + [SMALL_STATE(1662)] = 107509, + [SMALL_STATE(1663)] = 107550, + [SMALL_STATE(1664)] = 107591, + [SMALL_STATE(1665)] = 107636, + [SMALL_STATE(1666)] = 107672, + [SMALL_STATE(1667)] = 107708, + [SMALL_STATE(1668)] = 107744, + [SMALL_STATE(1669)] = 107779, + [SMALL_STATE(1670)] = 107814, + [SMALL_STATE(1671)] = 107849, + [SMALL_STATE(1672)] = 107884, + [SMALL_STATE(1673)] = 107919, + [SMALL_STATE(1674)] = 107954, + [SMALL_STATE(1675)] = 107989, + [SMALL_STATE(1676)] = 108027, + [SMALL_STATE(1677)] = 108061, + [SMALL_STATE(1678)] = 108095, + [SMALL_STATE(1679)] = 108129, + [SMALL_STATE(1680)] = 108163, + [SMALL_STATE(1681)] = 108197, + [SMALL_STATE(1682)] = 108235, + [SMALL_STATE(1683)] = 108269, + [SMALL_STATE(1684)] = 108303, + [SMALL_STATE(1685)] = 108347, + [SMALL_STATE(1686)] = 108385, + [SMALL_STATE(1687)] = 108419, + [SMALL_STATE(1688)] = 108453, + [SMALL_STATE(1689)] = 108487, + [SMALL_STATE(1690)] = 108523, + [SMALL_STATE(1691)] = 108557, + [SMALL_STATE(1692)] = 108594, + [SMALL_STATE(1693)] = 108631, + [SMALL_STATE(1694)] = 108664, + [SMALL_STATE(1695)] = 108701, + [SMALL_STATE(1696)] = 108761, + [SMALL_STATE(1697)] = 108806, + [SMALL_STATE(1698)] = 108858, + [SMALL_STATE(1699)] = 108912, + [SMALL_STATE(1700)] = 108964, + [SMALL_STATE(1701)] = 109018, + [SMALL_STATE(1702)] = 109061, + [SMALL_STATE(1703)] = 109104, + [SMALL_STATE(1704)] = 109147, + [SMALL_STATE(1705)] = 109186, + [SMALL_STATE(1706)] = 109225, + [SMALL_STATE(1707)] = 109264, + [SMALL_STATE(1708)] = 109307, + [SMALL_STATE(1709)] = 109346, + [SMALL_STATE(1710)] = 109386, + [SMALL_STATE(1711)] = 109426, + [SMALL_STATE(1712)] = 109460, + [SMALL_STATE(1713)] = 109500, + [SMALL_STATE(1714)] = 109540, + [SMALL_STATE(1715)] = 109580, + [SMALL_STATE(1716)] = 109620, + [SMALL_STATE(1717)] = 109660, + [SMALL_STATE(1718)] = 109694, + [SMALL_STATE(1719)] = 109734, + [SMALL_STATE(1720)] = 109774, + [SMALL_STATE(1721)] = 109808, + [SMALL_STATE(1722)] = 109848, + [SMALL_STATE(1723)] = 109888, + [SMALL_STATE(1724)] = 109928, + [SMALL_STATE(1725)] = 109968, + [SMALL_STATE(1726)] = 109995, + [SMALL_STATE(1727)] = 110022, + [SMALL_STATE(1728)] = 110055, + [SMALL_STATE(1729)] = 110082, + [SMALL_STATE(1730)] = 110109, + [SMALL_STATE(1731)] = 110136, + [SMALL_STATE(1732)] = 110163, + [SMALL_STATE(1733)] = 110202, + [SMALL_STATE(1734)] = 110241, + [SMALL_STATE(1735)] = 110268, + [SMALL_STATE(1736)] = 110295, + [SMALL_STATE(1737)] = 110322, + [SMALL_STATE(1738)] = 110361, + [SMALL_STATE(1739)] = 110394, + [SMALL_STATE(1740)] = 110425, + [SMALL_STATE(1741)] = 110452, + [SMALL_STATE(1742)] = 110479, + [SMALL_STATE(1743)] = 110506, + [SMALL_STATE(1744)] = 110545, + [SMALL_STATE(1745)] = 110572, + [SMALL_STATE(1746)] = 110599, + [SMALL_STATE(1747)] = 110630, + [SMALL_STATE(1748)] = 110663, + [SMALL_STATE(1749)] = 110690, + [SMALL_STATE(1750)] = 110720, + [SMALL_STATE(1751)] = 110754, + [SMALL_STATE(1752)] = 110784, + [SMALL_STATE(1753)] = 110814, + [SMALL_STATE(1754)] = 110848, + [SMALL_STATE(1755)] = 110876, + [SMALL_STATE(1756)] = 110910, + [SMALL_STATE(1757)] = 110938, + [SMALL_STATE(1758)] = 110992, + [SMALL_STATE(1759)] = 111018, + [SMALL_STATE(1760)] = 111048, + [SMALL_STATE(1761)] = 111078, + [SMALL_STATE(1762)] = 111132, + [SMALL_STATE(1763)] = 111162, + [SMALL_STATE(1764)] = 111196, + [SMALL_STATE(1765)] = 111224, + [SMALL_STATE(1766)] = 111258, + [SMALL_STATE(1767)] = 111286, + [SMALL_STATE(1768)] = 111316, + [SMALL_STATE(1769)] = 111345, + [SMALL_STATE(1770)] = 111400, + [SMALL_STATE(1771)] = 111425, + [SMALL_STATE(1772)] = 111450, + [SMALL_STATE(1773)] = 111479, + [SMALL_STATE(1774)] = 111504, + [SMALL_STATE(1775)] = 111529, + [SMALL_STATE(1776)] = 111558, + [SMALL_STATE(1777)] = 111591, + [SMALL_STATE(1778)] = 111624, + [SMALL_STATE(1779)] = 111657, + [SMALL_STATE(1780)] = 111690, + [SMALL_STATE(1781)] = 111716, + [SMALL_STATE(1782)] = 111742, + [SMALL_STATE(1783)] = 111770, + [SMALL_STATE(1784)] = 111796, + [SMALL_STATE(1785)] = 111820, + [SMALL_STATE(1786)] = 111844, + [SMALL_STATE(1787)] = 111870, + [SMALL_STATE(1788)] = 111894, + [SMALL_STATE(1789)] = 111922, + [SMALL_STATE(1790)] = 111950, + [SMALL_STATE(1791)] = 111978, + [SMALL_STATE(1792)] = 112006, + [SMALL_STATE(1793)] = 112030, + [SMALL_STATE(1794)] = 112054, + [SMALL_STATE(1795)] = 112082, + [SMALL_STATE(1796)] = 112110, + [SMALL_STATE(1797)] = 112138, + [SMALL_STATE(1798)] = 112162, + [SMALL_STATE(1799)] = 112190, + [SMALL_STATE(1800)] = 112214, + [SMALL_STATE(1801)] = 112238, + [SMALL_STATE(1802)] = 112262, + [SMALL_STATE(1803)] = 112290, + [SMALL_STATE(1804)] = 112318, + [SMALL_STATE(1805)] = 112346, + [SMALL_STATE(1806)] = 112374, + [SMALL_STATE(1807)] = 112397, + [SMALL_STATE(1808)] = 112420, + [SMALL_STATE(1809)] = 112447, + [SMALL_STATE(1810)] = 112474, + [SMALL_STATE(1811)] = 112497, + [SMALL_STATE(1812)] = 112546, + [SMALL_STATE(1813)] = 112569, + [SMALL_STATE(1814)] = 112592, + [SMALL_STATE(1815)] = 112615, + [SMALL_STATE(1816)] = 112638, + [SMALL_STATE(1817)] = 112661, + [SMALL_STATE(1818)] = 112684, + [SMALL_STATE(1819)] = 112707, + [SMALL_STATE(1820)] = 112734, + [SMALL_STATE(1821)] = 112757, + [SMALL_STATE(1822)] = 112780, + [SMALL_STATE(1823)] = 112803, + [SMALL_STATE(1824)] = 112826, + [SMALL_STATE(1825)] = 112875, + [SMALL_STATE(1826)] = 112924, + [SMALL_STATE(1827)] = 112947, + [SMALL_STATE(1828)] = 112970, + [SMALL_STATE(1829)] = 112993, + [SMALL_STATE(1830)] = 113018, + [SMALL_STATE(1831)] = 113043, + [SMALL_STATE(1832)] = 113066, + [SMALL_STATE(1833)] = 113089, + [SMALL_STATE(1834)] = 113112, + [SMALL_STATE(1835)] = 113143, + [SMALL_STATE(1836)] = 113166, + [SMALL_STATE(1837)] = 113188, + [SMALL_STATE(1838)] = 113210, + [SMALL_STATE(1839)] = 113232, + [SMALL_STATE(1840)] = 113254, + [SMALL_STATE(1841)] = 113276, + [SMALL_STATE(1842)] = 113298, + [SMALL_STATE(1843)] = 113320, + [SMALL_STATE(1844)] = 113342, + [SMALL_STATE(1845)] = 113364, + [SMALL_STATE(1846)] = 113386, + [SMALL_STATE(1847)] = 113408, + [SMALL_STATE(1848)] = 113430, + [SMALL_STATE(1849)] = 113452, + [SMALL_STATE(1850)] = 113474, + [SMALL_STATE(1851)] = 113496, + [SMALL_STATE(1852)] = 113518, + [SMALL_STATE(1853)] = 113540, + [SMALL_STATE(1854)] = 113562, + [SMALL_STATE(1855)] = 113584, + [SMALL_STATE(1856)] = 113606, + [SMALL_STATE(1857)] = 113628, + [SMALL_STATE(1858)] = 113650, + [SMALL_STATE(1859)] = 113690, + [SMALL_STATE(1860)] = 113712, + [SMALL_STATE(1861)] = 113734, + [SMALL_STATE(1862)] = 113756, + [SMALL_STATE(1863)] = 113778, + [SMALL_STATE(1864)] = 113800, + [SMALL_STATE(1865)] = 113822, + [SMALL_STATE(1866)] = 113844, + [SMALL_STATE(1867)] = 113866, + [SMALL_STATE(1868)] = 113888, + [SMALL_STATE(1869)] = 113910, + [SMALL_STATE(1870)] = 113932, + [SMALL_STATE(1871)] = 113954, + [SMALL_STATE(1872)] = 113976, + [SMALL_STATE(1873)] = 113998, + [SMALL_STATE(1874)] = 114020, + [SMALL_STATE(1875)] = 114042, + [SMALL_STATE(1876)] = 114064, + [SMALL_STATE(1877)] = 114086, + [SMALL_STATE(1878)] = 114108, + [SMALL_STATE(1879)] = 114130, + [SMALL_STATE(1880)] = 114152, + [SMALL_STATE(1881)] = 114174, + [SMALL_STATE(1882)] = 114196, + [SMALL_STATE(1883)] = 114218, + [SMALL_STATE(1884)] = 114240, + [SMALL_STATE(1885)] = 114262, + [SMALL_STATE(1886)] = 114284, + [SMALL_STATE(1887)] = 114306, + [SMALL_STATE(1888)] = 114328, + [SMALL_STATE(1889)] = 114350, + [SMALL_STATE(1890)] = 114372, + [SMALL_STATE(1891)] = 114394, + [SMALL_STATE(1892)] = 114416, + [SMALL_STATE(1893)] = 114438, + [SMALL_STATE(1894)] = 114460, + [SMALL_STATE(1895)] = 114482, + [SMALL_STATE(1896)] = 114504, + [SMALL_STATE(1897)] = 114526, + [SMALL_STATE(1898)] = 114548, + [SMALL_STATE(1899)] = 114570, + [SMALL_STATE(1900)] = 114592, + [SMALL_STATE(1901)] = 114614, + [SMALL_STATE(1902)] = 114638, + [SMALL_STATE(1903)] = 114660, + [SMALL_STATE(1904)] = 114682, + [SMALL_STATE(1905)] = 114704, + [SMALL_STATE(1906)] = 114744, + [SMALL_STATE(1907)] = 114766, + [SMALL_STATE(1908)] = 114788, + [SMALL_STATE(1909)] = 114810, + [SMALL_STATE(1910)] = 114859, + [SMALL_STATE(1911)] = 114882, + [SMALL_STATE(1912)] = 114907, + [SMALL_STATE(1913)] = 114928, + [SMALL_STATE(1914)] = 114969, + [SMALL_STATE(1915)] = 114992, + [SMALL_STATE(1916)] = 115033, + [SMALL_STATE(1917)] = 115069, + [SMALL_STATE(1918)] = 115109, + [SMALL_STATE(1919)] = 115131, + [SMALL_STATE(1920)] = 115171, + [SMALL_STATE(1921)] = 115207, + [SMALL_STATE(1922)] = 115247, + [SMALL_STATE(1923)] = 115276, + [SMALL_STATE(1924)] = 115299, + [SMALL_STATE(1925)] = 115322, + [SMALL_STATE(1926)] = 115357, + [SMALL_STATE(1927)] = 115380, + [SMALL_STATE(1928)] = 115414, + [SMALL_STATE(1929)] = 115436, + [SMALL_STATE(1930)] = 115458, + [SMALL_STATE(1931)] = 115480, + [SMALL_STATE(1932)] = 115509, + [SMALL_STATE(1933)] = 115538, + [SMALL_STATE(1934)] = 115571, + [SMALL_STATE(1935)] = 115602, + [SMALL_STATE(1936)] = 115631, + [SMALL_STATE(1937)] = 115666, + [SMALL_STATE(1938)] = 115697, + [SMALL_STATE(1939)] = 115720, + [SMALL_STATE(1940)] = 115751, + [SMALL_STATE(1941)] = 115779, + [SMALL_STATE(1942)] = 115805, + [SMALL_STATE(1943)] = 115833, + [SMALL_STATE(1944)] = 115861, + [SMALL_STATE(1945)] = 115889, + [SMALL_STATE(1946)] = 115917, + [SMALL_STATE(1947)] = 115945, + [SMALL_STATE(1948)] = 115971, + [SMALL_STATE(1949)] = 115999, + [SMALL_STATE(1950)] = 116027, + [SMALL_STATE(1951)] = 116055, + [SMALL_STATE(1952)] = 116083, + [SMALL_STATE(1953)] = 116111, + [SMALL_STATE(1954)] = 116139, + [SMALL_STATE(1955)] = 116169, + [SMALL_STATE(1956)] = 116197, + [SMALL_STATE(1957)] = 116225, + [SMALL_STATE(1958)] = 116253, + [SMALL_STATE(1959)] = 116281, + [SMALL_STATE(1960)] = 116309, + [SMALL_STATE(1961)] = 116337, + [SMALL_STATE(1962)] = 116365, + [SMALL_STATE(1963)] = 116385, + [SMALL_STATE(1964)] = 116413, + [SMALL_STATE(1965)] = 116441, + [SMALL_STATE(1966)] = 116461, + [SMALL_STATE(1967)] = 116486, + [SMALL_STATE(1968)] = 116511, + [SMALL_STATE(1969)] = 116540, + [SMALL_STATE(1970)] = 116565, + [SMALL_STATE(1971)] = 116590, + [SMALL_STATE(1972)] = 116615, + [SMALL_STATE(1973)] = 116640, + [SMALL_STATE(1974)] = 116665, + [SMALL_STATE(1975)] = 116690, + [SMALL_STATE(1976)] = 116715, + [SMALL_STATE(1977)] = 116740, + [SMALL_STATE(1978)] = 116765, + [SMALL_STATE(1979)] = 116790, + [SMALL_STATE(1980)] = 116815, + [SMALL_STATE(1981)] = 116844, + [SMALL_STATE(1982)] = 116869, + [SMALL_STATE(1983)] = 116894, + [SMALL_STATE(1984)] = 116923, + [SMALL_STATE(1985)] = 116948, + [SMALL_STATE(1986)] = 116973, + [SMALL_STATE(1987)] = 117002, + [SMALL_STATE(1988)] = 117027, + [SMALL_STATE(1989)] = 117056, + [SMALL_STATE(1990)] = 117081, + [SMALL_STATE(1991)] = 117106, + [SMALL_STATE(1992)] = 117132, + [SMALL_STATE(1993)] = 117158, + [SMALL_STATE(1994)] = 117184, + [SMALL_STATE(1995)] = 117206, + [SMALL_STATE(1996)] = 117228, + [SMALL_STATE(1997)] = 117250, + [SMALL_STATE(1998)] = 117276, + [SMALL_STATE(1999)] = 117298, + [SMALL_STATE(2000)] = 117318, + [SMALL_STATE(2001)] = 117340, + [SMALL_STATE(2002)] = 117366, + [SMALL_STATE(2003)] = 117392, + [SMALL_STATE(2004)] = 117414, + [SMALL_STATE(2005)] = 117440, + [SMALL_STATE(2006)] = 117460, + [SMALL_STATE(2007)] = 117486, + [SMALL_STATE(2008)] = 117512, + [SMALL_STATE(2009)] = 117538, + [SMALL_STATE(2010)] = 117560, + [SMALL_STATE(2011)] = 117580, + [SMALL_STATE(2012)] = 117602, + [SMALL_STATE(2013)] = 117624, + [SMALL_STATE(2014)] = 117650, + [SMALL_STATE(2015)] = 117672, + [SMALL_STATE(2016)] = 117694, + [SMALL_STATE(2017)] = 117720, + [SMALL_STATE(2018)] = 117742, + [SMALL_STATE(2019)] = 117764, + [SMALL_STATE(2020)] = 117786, + [SMALL_STATE(2021)] = 117812, + [SMALL_STATE(2022)] = 117838, + [SMALL_STATE(2023)] = 117864, + [SMALL_STATE(2024)] = 117886, + [SMALL_STATE(2025)] = 117908, + [SMALL_STATE(2026)] = 117934, + [SMALL_STATE(2027)] = 117960, + [SMALL_STATE(2028)] = 117986, + [SMALL_STATE(2029)] = 118011, + [SMALL_STATE(2030)] = 118034, + [SMALL_STATE(2031)] = 118059, + [SMALL_STATE(2032)] = 118084, + [SMALL_STATE(2033)] = 118109, + [SMALL_STATE(2034)] = 118134, + [SMALL_STATE(2035)] = 118159, + [SMALL_STATE(2036)] = 118182, + [SMALL_STATE(2037)] = 118207, + [SMALL_STATE(2038)] = 118230, + [SMALL_STATE(2039)] = 118255, + [SMALL_STATE(2040)] = 118278, + [SMALL_STATE(2041)] = 118301, + [SMALL_STATE(2042)] = 118324, + [SMALL_STATE(2043)] = 118347, + [SMALL_STATE(2044)] = 118370, + [SMALL_STATE(2045)] = 118385, + [SMALL_STATE(2046)] = 118408, + [SMALL_STATE(2047)] = 118431, + [SMALL_STATE(2048)] = 118446, + [SMALL_STATE(2049)] = 118469, + [SMALL_STATE(2050)] = 118494, + [SMALL_STATE(2051)] = 118517, + [SMALL_STATE(2052)] = 118540, + [SMALL_STATE(2053)] = 118563, + [SMALL_STATE(2054)] = 118586, + [SMALL_STATE(2055)] = 118601, + [SMALL_STATE(2056)] = 118624, + [SMALL_STATE(2057)] = 118647, + [SMALL_STATE(2058)] = 118670, + [SMALL_STATE(2059)] = 118693, + [SMALL_STATE(2060)] = 118716, + [SMALL_STATE(2061)] = 118739, + [SMALL_STATE(2062)] = 118762, + [SMALL_STATE(2063)] = 118785, + [SMALL_STATE(2064)] = 118808, + [SMALL_STATE(2065)] = 118831, + [SMALL_STATE(2066)] = 118854, + [SMALL_STATE(2067)] = 118877, + [SMALL_STATE(2068)] = 118900, + [SMALL_STATE(2069)] = 118923, + [SMALL_STATE(2070)] = 118948, + [SMALL_STATE(2071)] = 118971, + [SMALL_STATE(2072)] = 118996, + [SMALL_STATE(2073)] = 119019, + [SMALL_STATE(2074)] = 119042, + [SMALL_STATE(2075)] = 119067, + [SMALL_STATE(2076)] = 119090, + [SMALL_STATE(2077)] = 119113, + [SMALL_STATE(2078)] = 119138, + [SMALL_STATE(2079)] = 119161, + [SMALL_STATE(2080)] = 119186, + [SMALL_STATE(2081)] = 119209, + [SMALL_STATE(2082)] = 119232, + [SMALL_STATE(2083)] = 119255, + [SMALL_STATE(2084)] = 119277, + [SMALL_STATE(2085)] = 119299, + [SMALL_STATE(2086)] = 119321, + [SMALL_STATE(2087)] = 119343, + [SMALL_STATE(2088)] = 119359, + [SMALL_STATE(2089)] = 119381, + [SMALL_STATE(2090)] = 119403, + [SMALL_STATE(2091)] = 119425, + [SMALL_STATE(2092)] = 119441, + [SMALL_STATE(2093)] = 119463, + [SMALL_STATE(2094)] = 119485, + [SMALL_STATE(2095)] = 119507, + [SMALL_STATE(2096)] = 119523, + [SMALL_STATE(2097)] = 119545, + [SMALL_STATE(2098)] = 119567, + [SMALL_STATE(2099)] = 119589, + [SMALL_STATE(2100)] = 119611, + [SMALL_STATE(2101)] = 119633, + [SMALL_STATE(2102)] = 119655, + [SMALL_STATE(2103)] = 119677, + [SMALL_STATE(2104)] = 119699, + [SMALL_STATE(2105)] = 119715, + [SMALL_STATE(2106)] = 119737, + [SMALL_STATE(2107)] = 119759, + [SMALL_STATE(2108)] = 119781, + [SMALL_STATE(2109)] = 119803, + [SMALL_STATE(2110)] = 119825, + [SMALL_STATE(2111)] = 119847, + [SMALL_STATE(2112)] = 119869, + [SMALL_STATE(2113)] = 119891, + [SMALL_STATE(2114)] = 119910, + [SMALL_STATE(2115)] = 119923, + [SMALL_STATE(2116)] = 119940, + [SMALL_STATE(2117)] = 119959, + [SMALL_STATE(2118)] = 119978, + [SMALL_STATE(2119)] = 119997, + [SMALL_STATE(2120)] = 120012, + [SMALL_STATE(2121)] = 120029, + [SMALL_STATE(2122)] = 120046, + [SMALL_STATE(2123)] = 120061, + [SMALL_STATE(2124)] = 120080, + [SMALL_STATE(2125)] = 120091, + [SMALL_STATE(2126)] = 120110, + [SMALL_STATE(2127)] = 120129, + [SMALL_STATE(2128)] = 120144, + [SMALL_STATE(2129)] = 120161, + [SMALL_STATE(2130)] = 120180, + [SMALL_STATE(2131)] = 120195, + [SMALL_STATE(2132)] = 120214, + [SMALL_STATE(2133)] = 120233, + [SMALL_STATE(2134)] = 120250, + [SMALL_STATE(2135)] = 120269, + [SMALL_STATE(2136)] = 120288, + [SMALL_STATE(2137)] = 120307, + [SMALL_STATE(2138)] = 120324, + [SMALL_STATE(2139)] = 120343, + [SMALL_STATE(2140)] = 120360, + [SMALL_STATE(2141)] = 120379, + [SMALL_STATE(2142)] = 120398, + [SMALL_STATE(2143)] = 120417, + [SMALL_STATE(2144)] = 120432, + [SMALL_STATE(2145)] = 120451, + [SMALL_STATE(2146)] = 120470, + [SMALL_STATE(2147)] = 120487, + [SMALL_STATE(2148)] = 120504, + [SMALL_STATE(2149)] = 120523, + [SMALL_STATE(2150)] = 120538, + [SMALL_STATE(2151)] = 120557, + [SMALL_STATE(2152)] = 120574, + [SMALL_STATE(2153)] = 120593, + [SMALL_STATE(2154)] = 120612, + [SMALL_STATE(2155)] = 120631, + [SMALL_STATE(2156)] = 120650, + [SMALL_STATE(2157)] = 120669, + [SMALL_STATE(2158)] = 120688, + [SMALL_STATE(2159)] = 120707, + [SMALL_STATE(2160)] = 120726, + [SMALL_STATE(2161)] = 120743, + [SMALL_STATE(2162)] = 120762, + [SMALL_STATE(2163)] = 120777, + [SMALL_STATE(2164)] = 120792, + [SMALL_STATE(2165)] = 120811, + [SMALL_STATE(2166)] = 120830, + [SMALL_STATE(2167)] = 120847, + [SMALL_STATE(2168)] = 120866, + [SMALL_STATE(2169)] = 120885, + [SMALL_STATE(2170)] = 120904, + [SMALL_STATE(2171)] = 120919, + [SMALL_STATE(2172)] = 120938, + [SMALL_STATE(2173)] = 120957, + [SMALL_STATE(2174)] = 120972, + [SMALL_STATE(2175)] = 120991, + [SMALL_STATE(2176)] = 121008, + [SMALL_STATE(2177)] = 121027, + [SMALL_STATE(2178)] = 121046, + [SMALL_STATE(2179)] = 121065, + [SMALL_STATE(2180)] = 121084, + [SMALL_STATE(2181)] = 121103, + [SMALL_STATE(2182)] = 121122, + [SMALL_STATE(2183)] = 121141, + [SMALL_STATE(2184)] = 121160, + [SMALL_STATE(2185)] = 121177, + [SMALL_STATE(2186)] = 121192, + [SMALL_STATE(2187)] = 121211, + [SMALL_STATE(2188)] = 121228, + [SMALL_STATE(2189)] = 121243, + [SMALL_STATE(2190)] = 121262, + [SMALL_STATE(2191)] = 121277, + [SMALL_STATE(2192)] = 121296, + [SMALL_STATE(2193)] = 121315, + [SMALL_STATE(2194)] = 121334, + [SMALL_STATE(2195)] = 121353, + [SMALL_STATE(2196)] = 121372, + [SMALL_STATE(2197)] = 121391, + [SMALL_STATE(2198)] = 121410, + [SMALL_STATE(2199)] = 121427, + [SMALL_STATE(2200)] = 121446, + [SMALL_STATE(2201)] = 121465, + [SMALL_STATE(2202)] = 121484, + [SMALL_STATE(2203)] = 121503, + [SMALL_STATE(2204)] = 121518, + [SMALL_STATE(2205)] = 121537, + [SMALL_STATE(2206)] = 121556, + [SMALL_STATE(2207)] = 121566, + [SMALL_STATE(2208)] = 121576, + [SMALL_STATE(2209)] = 121592, + [SMALL_STATE(2210)] = 121608, + [SMALL_STATE(2211)] = 121618, + [SMALL_STATE(2212)] = 121634, + [SMALL_STATE(2213)] = 121650, + [SMALL_STATE(2214)] = 121664, + [SMALL_STATE(2215)] = 121676, + [SMALL_STATE(2216)] = 121690, + [SMALL_STATE(2217)] = 121706, + [SMALL_STATE(2218)] = 121720, + [SMALL_STATE(2219)] = 121734, + [SMALL_STATE(2220)] = 121750, + [SMALL_STATE(2221)] = 121766, + [SMALL_STATE(2222)] = 121782, + [SMALL_STATE(2223)] = 121796, + [SMALL_STATE(2224)] = 121812, + [SMALL_STATE(2225)] = 121828, + [SMALL_STATE(2226)] = 121844, + [SMALL_STATE(2227)] = 121860, + [SMALL_STATE(2228)] = 121876, + [SMALL_STATE(2229)] = 121886, + [SMALL_STATE(2230)] = 121898, + [SMALL_STATE(2231)] = 121914, + [SMALL_STATE(2232)] = 121930, + [SMALL_STATE(2233)] = 121946, + [SMALL_STATE(2234)] = 121956, + [SMALL_STATE(2235)] = 121972, + [SMALL_STATE(2236)] = 121988, + [SMALL_STATE(2237)] = 122004, + [SMALL_STATE(2238)] = 122014, + [SMALL_STATE(2239)] = 122028, + [SMALL_STATE(2240)] = 122038, + [SMALL_STATE(2241)] = 122051, + [SMALL_STATE(2242)] = 122064, + [SMALL_STATE(2243)] = 122077, + [SMALL_STATE(2244)] = 122090, + [SMALL_STATE(2245)] = 122103, + [SMALL_STATE(2246)] = 122116, + [SMALL_STATE(2247)] = 122129, + [SMALL_STATE(2248)] = 122142, + [SMALL_STATE(2249)] = 122155, + [SMALL_STATE(2250)] = 122168, + [SMALL_STATE(2251)] = 122181, + [SMALL_STATE(2252)] = 122194, + [SMALL_STATE(2253)] = 122207, + [SMALL_STATE(2254)] = 122220, + [SMALL_STATE(2255)] = 122233, + [SMALL_STATE(2256)] = 122246, + [SMALL_STATE(2257)] = 122259, + [SMALL_STATE(2258)] = 122272, + [SMALL_STATE(2259)] = 122285, + [SMALL_STATE(2260)] = 122298, + [SMALL_STATE(2261)] = 122309, + [SMALL_STATE(2262)] = 122322, + [SMALL_STATE(2263)] = 122333, + [SMALL_STATE(2264)] = 122346, + [SMALL_STATE(2265)] = 122359, + [SMALL_STATE(2266)] = 122372, + [SMALL_STATE(2267)] = 122385, + [SMALL_STATE(2268)] = 122398, + [SMALL_STATE(2269)] = 122411, + [SMALL_STATE(2270)] = 122424, + [SMALL_STATE(2271)] = 122433, + [SMALL_STATE(2272)] = 122446, + [SMALL_STATE(2273)] = 122459, + [SMALL_STATE(2274)] = 122472, + [SMALL_STATE(2275)] = 122485, + [SMALL_STATE(2276)] = 122498, + [SMALL_STATE(2277)] = 122511, + [SMALL_STATE(2278)] = 122524, + [SMALL_STATE(2279)] = 122537, + [SMALL_STATE(2280)] = 122550, + [SMALL_STATE(2281)] = 122563, + [SMALL_STATE(2282)] = 122576, + [SMALL_STATE(2283)] = 122589, + [SMALL_STATE(2284)] = 122602, + [SMALL_STATE(2285)] = 122615, + [SMALL_STATE(2286)] = 122628, + [SMALL_STATE(2287)] = 122641, + [SMALL_STATE(2288)] = 122654, + [SMALL_STATE(2289)] = 122667, + [SMALL_STATE(2290)] = 122680, + [SMALL_STATE(2291)] = 122693, + [SMALL_STATE(2292)] = 122706, + [SMALL_STATE(2293)] = 122719, + [SMALL_STATE(2294)] = 122732, + [SMALL_STATE(2295)] = 122745, + [SMALL_STATE(2296)] = 122758, + [SMALL_STATE(2297)] = 122771, + [SMALL_STATE(2298)] = 122784, + [SMALL_STATE(2299)] = 122797, + [SMALL_STATE(2300)] = 122810, + [SMALL_STATE(2301)] = 122823, + [SMALL_STATE(2302)] = 122836, + [SMALL_STATE(2303)] = 122849, + [SMALL_STATE(2304)] = 122862, + [SMALL_STATE(2305)] = 122875, + [SMALL_STATE(2306)] = 122888, + [SMALL_STATE(2307)] = 122901, + [SMALL_STATE(2308)] = 122914, + [SMALL_STATE(2309)] = 122927, + [SMALL_STATE(2310)] = 122940, + [SMALL_STATE(2311)] = 122953, + [SMALL_STATE(2312)] = 122966, + [SMALL_STATE(2313)] = 122979, + [SMALL_STATE(2314)] = 122992, + [SMALL_STATE(2315)] = 123005, + [SMALL_STATE(2316)] = 123018, + [SMALL_STATE(2317)] = 123031, + [SMALL_STATE(2318)] = 123044, + [SMALL_STATE(2319)] = 123057, + [SMALL_STATE(2320)] = 123070, + [SMALL_STATE(2321)] = 123083, + [SMALL_STATE(2322)] = 123096, + [SMALL_STATE(2323)] = 123109, + [SMALL_STATE(2324)] = 123122, + [SMALL_STATE(2325)] = 123135, + [SMALL_STATE(2326)] = 123148, + [SMALL_STATE(2327)] = 123161, + [SMALL_STATE(2328)] = 123174, + [SMALL_STATE(2329)] = 123187, + [SMALL_STATE(2330)] = 123200, + [SMALL_STATE(2331)] = 123213, + [SMALL_STATE(2332)] = 123224, + [SMALL_STATE(2333)] = 123237, + [SMALL_STATE(2334)] = 123250, + [SMALL_STATE(2335)] = 123263, + [SMALL_STATE(2336)] = 123276, + [SMALL_STATE(2337)] = 123289, + [SMALL_STATE(2338)] = 123302, + [SMALL_STATE(2339)] = 123315, + [SMALL_STATE(2340)] = 123328, + [SMALL_STATE(2341)] = 123341, + [SMALL_STATE(2342)] = 123354, + [SMALL_STATE(2343)] = 123367, + [SMALL_STATE(2344)] = 123380, + [SMALL_STATE(2345)] = 123393, + [SMALL_STATE(2346)] = 123406, + [SMALL_STATE(2347)] = 123419, + [SMALL_STATE(2348)] = 123430, + [SMALL_STATE(2349)] = 123443, + [SMALL_STATE(2350)] = 123456, + [SMALL_STATE(2351)] = 123469, + [SMALL_STATE(2352)] = 123482, + [SMALL_STATE(2353)] = 123495, + [SMALL_STATE(2354)] = 123508, + [SMALL_STATE(2355)] = 123521, + [SMALL_STATE(2356)] = 123532, + [SMALL_STATE(2357)] = 123545, + [SMALL_STATE(2358)] = 123558, + [SMALL_STATE(2359)] = 123571, + [SMALL_STATE(2360)] = 123582, + [SMALL_STATE(2361)] = 123595, + [SMALL_STATE(2362)] = 123605, + [SMALL_STATE(2363)] = 123615, + [SMALL_STATE(2364)] = 123625, + [SMALL_STATE(2365)] = 123635, + [SMALL_STATE(2366)] = 123645, + [SMALL_STATE(2367)] = 123655, + [SMALL_STATE(2368)] = 123665, + [SMALL_STATE(2369)] = 123675, + [SMALL_STATE(2370)] = 123685, + [SMALL_STATE(2371)] = 123695, + [SMALL_STATE(2372)] = 123705, + [SMALL_STATE(2373)] = 123715, + [SMALL_STATE(2374)] = 123725, + [SMALL_STATE(2375)] = 123735, + [SMALL_STATE(2376)] = 123745, + [SMALL_STATE(2377)] = 123755, + [SMALL_STATE(2378)] = 123765, + [SMALL_STATE(2379)] = 123775, + [SMALL_STATE(2380)] = 123785, + [SMALL_STATE(2381)] = 123795, + [SMALL_STATE(2382)] = 123805, + [SMALL_STATE(2383)] = 123815, + [SMALL_STATE(2384)] = 123823, + [SMALL_STATE(2385)] = 123833, + [SMALL_STATE(2386)] = 123841, + [SMALL_STATE(2387)] = 123851, + [SMALL_STATE(2388)] = 123861, + [SMALL_STATE(2389)] = 123871, + [SMALL_STATE(2390)] = 123881, + [SMALL_STATE(2391)] = 123891, + [SMALL_STATE(2392)] = 123901, + [SMALL_STATE(2393)] = 123911, + [SMALL_STATE(2394)] = 123919, + [SMALL_STATE(2395)] = 123929, + [SMALL_STATE(2396)] = 123939, + [SMALL_STATE(2397)] = 123949, + [SMALL_STATE(2398)] = 123959, + [SMALL_STATE(2399)] = 123969, + [SMALL_STATE(2400)] = 123977, + [SMALL_STATE(2401)] = 123987, + [SMALL_STATE(2402)] = 123997, + [SMALL_STATE(2403)] = 124007, + [SMALL_STATE(2404)] = 124017, + [SMALL_STATE(2405)] = 124027, + [SMALL_STATE(2406)] = 124035, + [SMALL_STATE(2407)] = 124045, + [SMALL_STATE(2408)] = 124055, + [SMALL_STATE(2409)] = 124065, + [SMALL_STATE(2410)] = 124075, + [SMALL_STATE(2411)] = 124085, + [SMALL_STATE(2412)] = 124095, + [SMALL_STATE(2413)] = 124105, + [SMALL_STATE(2414)] = 124113, + [SMALL_STATE(2415)] = 124123, + [SMALL_STATE(2416)] = 124133, + [SMALL_STATE(2417)] = 124143, + [SMALL_STATE(2418)] = 124153, + [SMALL_STATE(2419)] = 124163, + [SMALL_STATE(2420)] = 124171, + [SMALL_STATE(2421)] = 124181, + [SMALL_STATE(2422)] = 124191, + [SMALL_STATE(2423)] = 124201, + [SMALL_STATE(2424)] = 124211, + [SMALL_STATE(2425)] = 124221, + [SMALL_STATE(2426)] = 124229, + [SMALL_STATE(2427)] = 124239, + [SMALL_STATE(2428)] = 124249, + [SMALL_STATE(2429)] = 124259, + [SMALL_STATE(2430)] = 124269, + [SMALL_STATE(2431)] = 124279, + [SMALL_STATE(2432)] = 124289, + [SMALL_STATE(2433)] = 124299, + [SMALL_STATE(2434)] = 124309, + [SMALL_STATE(2435)] = 124319, + [SMALL_STATE(2436)] = 124327, + [SMALL_STATE(2437)] = 124337, + [SMALL_STATE(2438)] = 124347, + [SMALL_STATE(2439)] = 124357, + [SMALL_STATE(2440)] = 124367, + [SMALL_STATE(2441)] = 124377, + [SMALL_STATE(2442)] = 124387, + [SMALL_STATE(2443)] = 124395, + [SMALL_STATE(2444)] = 124405, + [SMALL_STATE(2445)] = 124415, + [SMALL_STATE(2446)] = 124423, + [SMALL_STATE(2447)] = 124433, + [SMALL_STATE(2448)] = 124443, + [SMALL_STATE(2449)] = 124453, + [SMALL_STATE(2450)] = 124461, + [SMALL_STATE(2451)] = 124471, + [SMALL_STATE(2452)] = 124479, + [SMALL_STATE(2453)] = 124489, + [SMALL_STATE(2454)] = 124499, + [SMALL_STATE(2455)] = 124509, + [SMALL_STATE(2456)] = 124519, + [SMALL_STATE(2457)] = 124529, + [SMALL_STATE(2458)] = 124539, + [SMALL_STATE(2459)] = 124549, + [SMALL_STATE(2460)] = 124559, + [SMALL_STATE(2461)] = 124567, + [SMALL_STATE(2462)] = 124577, + [SMALL_STATE(2463)] = 124587, + [SMALL_STATE(2464)] = 124597, + [SMALL_STATE(2465)] = 124607, + [SMALL_STATE(2466)] = 124617, + [SMALL_STATE(2467)] = 124627, + [SMALL_STATE(2468)] = 124637, + [SMALL_STATE(2469)] = 124647, + [SMALL_STATE(2470)] = 124657, + [SMALL_STATE(2471)] = 124667, + [SMALL_STATE(2472)] = 124677, + [SMALL_STATE(2473)] = 124687, + [SMALL_STATE(2474)] = 124697, + [SMALL_STATE(2475)] = 124705, + [SMALL_STATE(2476)] = 124715, + [SMALL_STATE(2477)] = 124725, + [SMALL_STATE(2478)] = 124735, + [SMALL_STATE(2479)] = 124745, + [SMALL_STATE(2480)] = 124755, + [SMALL_STATE(2481)] = 124765, + [SMALL_STATE(2482)] = 124775, + [SMALL_STATE(2483)] = 124785, + [SMALL_STATE(2484)] = 124795, + [SMALL_STATE(2485)] = 124805, + [SMALL_STATE(2486)] = 124813, + [SMALL_STATE(2487)] = 124823, + [SMALL_STATE(2488)] = 124833, + [SMALL_STATE(2489)] = 124843, + [SMALL_STATE(2490)] = 124853, + [SMALL_STATE(2491)] = 124863, + [SMALL_STATE(2492)] = 124873, + [SMALL_STATE(2493)] = 124883, + [SMALL_STATE(2494)] = 124893, + [SMALL_STATE(2495)] = 124903, + [SMALL_STATE(2496)] = 124913, + [SMALL_STATE(2497)] = 124923, + [SMALL_STATE(2498)] = 124933, + [SMALL_STATE(2499)] = 124943, + [SMALL_STATE(2500)] = 124953, + [SMALL_STATE(2501)] = 124963, + [SMALL_STATE(2502)] = 124973, + [SMALL_STATE(2503)] = 124983, + [SMALL_STATE(2504)] = 124993, + [SMALL_STATE(2505)] = 125003, + [SMALL_STATE(2506)] = 125010, + [SMALL_STATE(2507)] = 125017, + [SMALL_STATE(2508)] = 125024, + [SMALL_STATE(2509)] = 125031, + [SMALL_STATE(2510)] = 125038, + [SMALL_STATE(2511)] = 125045, + [SMALL_STATE(2512)] = 125052, + [SMALL_STATE(2513)] = 125059, + [SMALL_STATE(2514)] = 125066, + [SMALL_STATE(2515)] = 125073, + [SMALL_STATE(2516)] = 125080, + [SMALL_STATE(2517)] = 125087, + [SMALL_STATE(2518)] = 125094, + [SMALL_STATE(2519)] = 125101, + [SMALL_STATE(2520)] = 125108, + [SMALL_STATE(2521)] = 125115, + [SMALL_STATE(2522)] = 125122, + [SMALL_STATE(2523)] = 125129, + [SMALL_STATE(2524)] = 125136, + [SMALL_STATE(2525)] = 125143, + [SMALL_STATE(2526)] = 125150, + [SMALL_STATE(2527)] = 125157, + [SMALL_STATE(2528)] = 125164, + [SMALL_STATE(2529)] = 125171, + [SMALL_STATE(2530)] = 125178, + [SMALL_STATE(2531)] = 125185, + [SMALL_STATE(2532)] = 125192, + [SMALL_STATE(2533)] = 125199, + [SMALL_STATE(2534)] = 125206, + [SMALL_STATE(2535)] = 125213, + [SMALL_STATE(2536)] = 125220, + [SMALL_STATE(2537)] = 125227, + [SMALL_STATE(2538)] = 125234, + [SMALL_STATE(2539)] = 125241, + [SMALL_STATE(2540)] = 125248, + [SMALL_STATE(2541)] = 125255, + [SMALL_STATE(2542)] = 125262, + [SMALL_STATE(2543)] = 125269, + [SMALL_STATE(2544)] = 125276, + [SMALL_STATE(2545)] = 125283, + [SMALL_STATE(2546)] = 125290, + [SMALL_STATE(2547)] = 125297, + [SMALL_STATE(2548)] = 125304, + [SMALL_STATE(2549)] = 125311, + [SMALL_STATE(2550)] = 125318, + [SMALL_STATE(2551)] = 125325, + [SMALL_STATE(2552)] = 125332, + [SMALL_STATE(2553)] = 125339, + [SMALL_STATE(2554)] = 125346, + [SMALL_STATE(2555)] = 125353, + [SMALL_STATE(2556)] = 125360, + [SMALL_STATE(2557)] = 125367, + [SMALL_STATE(2558)] = 125374, + [SMALL_STATE(2559)] = 125381, + [SMALL_STATE(2560)] = 125388, + [SMALL_STATE(2561)] = 125395, + [SMALL_STATE(2562)] = 125402, + [SMALL_STATE(2563)] = 125409, + [SMALL_STATE(2564)] = 125416, + [SMALL_STATE(2565)] = 125423, + [SMALL_STATE(2566)] = 125430, + [SMALL_STATE(2567)] = 125437, + [SMALL_STATE(2568)] = 125444, + [SMALL_STATE(2569)] = 125451, + [SMALL_STATE(2570)] = 125458, + [SMALL_STATE(2571)] = 125465, + [SMALL_STATE(2572)] = 125472, + [SMALL_STATE(2573)] = 125479, + [SMALL_STATE(2574)] = 125486, + [SMALL_STATE(2575)] = 125493, + [SMALL_STATE(2576)] = 125500, + [SMALL_STATE(2577)] = 125507, + [SMALL_STATE(2578)] = 125514, + [SMALL_STATE(2579)] = 125521, + [SMALL_STATE(2580)] = 125528, + [SMALL_STATE(2581)] = 125535, + [SMALL_STATE(2582)] = 125542, + [SMALL_STATE(2583)] = 125549, + [SMALL_STATE(2584)] = 125556, + [SMALL_STATE(2585)] = 125563, + [SMALL_STATE(2586)] = 125570, + [SMALL_STATE(2587)] = 125577, + [SMALL_STATE(2588)] = 125584, + [SMALL_STATE(2589)] = 125591, + [SMALL_STATE(2590)] = 125598, + [SMALL_STATE(2591)] = 125605, + [SMALL_STATE(2592)] = 125612, + [SMALL_STATE(2593)] = 125619, + [SMALL_STATE(2594)] = 125626, + [SMALL_STATE(2595)] = 125633, + [SMALL_STATE(2596)] = 125640, + [SMALL_STATE(2597)] = 125647, + [SMALL_STATE(2598)] = 125654, + [SMALL_STATE(2599)] = 125661, + [SMALL_STATE(2600)] = 125668, + [SMALL_STATE(2601)] = 125675, + [SMALL_STATE(2602)] = 125682, + [SMALL_STATE(2603)] = 125689, + [SMALL_STATE(2604)] = 125696, + [SMALL_STATE(2605)] = 125703, + [SMALL_STATE(2606)] = 125710, + [SMALL_STATE(2607)] = 125717, + [SMALL_STATE(2608)] = 125724, + [SMALL_STATE(2609)] = 125731, + [SMALL_STATE(2610)] = 125738, + [SMALL_STATE(2611)] = 125745, + [SMALL_STATE(2612)] = 125752, + [SMALL_STATE(2613)] = 125759, + [SMALL_STATE(2614)] = 125766, + [SMALL_STATE(2615)] = 125773, + [SMALL_STATE(2616)] = 125780, + [SMALL_STATE(2617)] = 125787, + [SMALL_STATE(2618)] = 125794, + [SMALL_STATE(2619)] = 125801, + [SMALL_STATE(2620)] = 125808, + [SMALL_STATE(2621)] = 125815, + [SMALL_STATE(2622)] = 125822, + [SMALL_STATE(2623)] = 125829, + [SMALL_STATE(2624)] = 125836, + [SMALL_STATE(2625)] = 125843, + [SMALL_STATE(2626)] = 125850, + [SMALL_STATE(2627)] = 125857, + [SMALL_STATE(2628)] = 125864, + [SMALL_STATE(2629)] = 125871, + [SMALL_STATE(2630)] = 125878, + [SMALL_STATE(2631)] = 125885, + [SMALL_STATE(2632)] = 125892, + [SMALL_STATE(2633)] = 125899, + [SMALL_STATE(2634)] = 125906, + [SMALL_STATE(2635)] = 125913, + [SMALL_STATE(2636)] = 125920, + [SMALL_STATE(2637)] = 125927, + [SMALL_STATE(2638)] = 125934, + [SMALL_STATE(2639)] = 125941, + [SMALL_STATE(2640)] = 125948, + [SMALL_STATE(2641)] = 125955, + [SMALL_STATE(2642)] = 125962, + [SMALL_STATE(2643)] = 125969, + [SMALL_STATE(2644)] = 125976, + [SMALL_STATE(2645)] = 125983, + [SMALL_STATE(2646)] = 125990, + [SMALL_STATE(2647)] = 125997, + [SMALL_STATE(2648)] = 126004, + [SMALL_STATE(2649)] = 126011, + [SMALL_STATE(2650)] = 126018, + [SMALL_STATE(2651)] = 126025, + [SMALL_STATE(2652)] = 126032, + [SMALL_STATE(2653)] = 126039, + [SMALL_STATE(2654)] = 126046, + [SMALL_STATE(2655)] = 126053, + [SMALL_STATE(2656)] = 126060, + [SMALL_STATE(2657)] = 126067, + [SMALL_STATE(2658)] = 126074, + [SMALL_STATE(2659)] = 126081, + [SMALL_STATE(2660)] = 126088, + [SMALL_STATE(2661)] = 126095, + [SMALL_STATE(2662)] = 126102, + [SMALL_STATE(2663)] = 126109, + [SMALL_STATE(2664)] = 126116, + [SMALL_STATE(2665)] = 126123, + [SMALL_STATE(2666)] = 126130, + [SMALL_STATE(2667)] = 126137, + [SMALL_STATE(2668)] = 126144, + [SMALL_STATE(2669)] = 126151, + [SMALL_STATE(2670)] = 126158, + [SMALL_STATE(2671)] = 126165, + [SMALL_STATE(2672)] = 126172, + [SMALL_STATE(2673)] = 126179, + [SMALL_STATE(2674)] = 126186, + [SMALL_STATE(2675)] = 126193, + [SMALL_STATE(2676)] = 126200, + [SMALL_STATE(2677)] = 126207, + [SMALL_STATE(2678)] = 126214, + [SMALL_STATE(2679)] = 126221, + [SMALL_STATE(2680)] = 126228, + [SMALL_STATE(2681)] = 126235, + [SMALL_STATE(2682)] = 126242, + [SMALL_STATE(2683)] = 126249, + [SMALL_STATE(2684)] = 126256, + [SMALL_STATE(2685)] = 126263, + [SMALL_STATE(2686)] = 126270, + [SMALL_STATE(2687)] = 126277, + [SMALL_STATE(2688)] = 126284, + [SMALL_STATE(2689)] = 126291, + [SMALL_STATE(2690)] = 126298, + [SMALL_STATE(2691)] = 126305, + [SMALL_STATE(2692)] = 126312, + [SMALL_STATE(2693)] = 126319, + [SMALL_STATE(2694)] = 126326, + [SMALL_STATE(2695)] = 126333, + [SMALL_STATE(2696)] = 126340, + [SMALL_STATE(2697)] = 126347, + [SMALL_STATE(2698)] = 126354, + [SMALL_STATE(2699)] = 126361, + [SMALL_STATE(2700)] = 126368, + [SMALL_STATE(2701)] = 126375, + [SMALL_STATE(2702)] = 126382, + [SMALL_STATE(2703)] = 126389, + [SMALL_STATE(2704)] = 126396, + [SMALL_STATE(2705)] = 126403, + [SMALL_STATE(2706)] = 126410, + [SMALL_STATE(2707)] = 126417, + [SMALL_STATE(2708)] = 126424, + [SMALL_STATE(2709)] = 126431, + [SMALL_STATE(2710)] = 126438, + [SMALL_STATE(2711)] = 126445, + [SMALL_STATE(2712)] = 126452, + [SMALL_STATE(2713)] = 126459, + [SMALL_STATE(2714)] = 126466, + [SMALL_STATE(2715)] = 126473, + [SMALL_STATE(2716)] = 126480, + [SMALL_STATE(2717)] = 126487, + [SMALL_STATE(2718)] = 126494, + [SMALL_STATE(2719)] = 126501, + [SMALL_STATE(2720)] = 126508, + [SMALL_STATE(2721)] = 126515, + [SMALL_STATE(2722)] = 126522, + [SMALL_STATE(2723)] = 126529, + [SMALL_STATE(2724)] = 126536, + [SMALL_STATE(2725)] = 126543, + [SMALL_STATE(2726)] = 126550, + [SMALL_STATE(2727)] = 126557, + [SMALL_STATE(2728)] = 126564, + [SMALL_STATE(2729)] = 126571, + [SMALL_STATE(2730)] = 126578, + [SMALL_STATE(2731)] = 126585, + [SMALL_STATE(2732)] = 126592, + [SMALL_STATE(2733)] = 126599, + [SMALL_STATE(2734)] = 126606, + [SMALL_STATE(2735)] = 126613, + [SMALL_STATE(2736)] = 126620, + [SMALL_STATE(2737)] = 126627, + [SMALL_STATE(2738)] = 126634, + [SMALL_STATE(2739)] = 126641, + [SMALL_STATE(2740)] = 126648, + [SMALL_STATE(2741)] = 126655, + [SMALL_STATE(2742)] = 126662, + [SMALL_STATE(2743)] = 126669, + [SMALL_STATE(2744)] = 126676, + [SMALL_STATE(2745)] = 126683, + [SMALL_STATE(2746)] = 126690, + [SMALL_STATE(2747)] = 126697, + [SMALL_STATE(2748)] = 126704, + [SMALL_STATE(2749)] = 126711, + [SMALL_STATE(2750)] = 126718, + [SMALL_STATE(2751)] = 126725, + [SMALL_STATE(2752)] = 126732, + [SMALL_STATE(2753)] = 126739, + [SMALL_STATE(2754)] = 126746, + [SMALL_STATE(2755)] = 126753, + [SMALL_STATE(2756)] = 126760, + [SMALL_STATE(2757)] = 126767, + [SMALL_STATE(2758)] = 126774, + [SMALL_STATE(2759)] = 126781, + [SMALL_STATE(2760)] = 126788, + [SMALL_STATE(2761)] = 126795, + [SMALL_STATE(2762)] = 126802, + [SMALL_STATE(2763)] = 126809, + [SMALL_STATE(2764)] = 126816, + [SMALL_STATE(2765)] = 126823, + [SMALL_STATE(2766)] = 126830, + [SMALL_STATE(2767)] = 126837, + [SMALL_STATE(2768)] = 126844, + [SMALL_STATE(2769)] = 126851, + [SMALL_STATE(2770)] = 126858, + [SMALL_STATE(2771)] = 126865, + [SMALL_STATE(2772)] = 126872, + [SMALL_STATE(2773)] = 126879, + [SMALL_STATE(2774)] = 126886, + [SMALL_STATE(2775)] = 126893, + [SMALL_STATE(2776)] = 126900, + [SMALL_STATE(2777)] = 126907, + [SMALL_STATE(2778)] = 126914, + [SMALL_STATE(2779)] = 126921, + [SMALL_STATE(2780)] = 126928, + [SMALL_STATE(2781)] = 126935, + [SMALL_STATE(2782)] = 126942, + [SMALL_STATE(2783)] = 126949, + [SMALL_STATE(2784)] = 126956, + [SMALL_STATE(2785)] = 126963, + [SMALL_STATE(2786)] = 126970, + [SMALL_STATE(2787)] = 126977, + [SMALL_STATE(2788)] = 126984, + [SMALL_STATE(2789)] = 126991, + [SMALL_STATE(2790)] = 126998, + [SMALL_STATE(2791)] = 127005, + [SMALL_STATE(2792)] = 127012, + [SMALL_STATE(2793)] = 127019, + [SMALL_STATE(2794)] = 127026, + [SMALL_STATE(2795)] = 127033, + [SMALL_STATE(2796)] = 127040, + [SMALL_STATE(2797)] = 127047, + [SMALL_STATE(2798)] = 127054, + [SMALL_STATE(2799)] = 127061, + [SMALL_STATE(2800)] = 127068, + [SMALL_STATE(2801)] = 127075, + [SMALL_STATE(2802)] = 127082, + [SMALL_STATE(2803)] = 127089, + [SMALL_STATE(2804)] = 127096, + [SMALL_STATE(2805)] = 127103, + [SMALL_STATE(2806)] = 127110, + [SMALL_STATE(2807)] = 127117, + [SMALL_STATE(2808)] = 127124, + [SMALL_STATE(2809)] = 127131, + [SMALL_STATE(2810)] = 127138, + [SMALL_STATE(2811)] = 127145, + [SMALL_STATE(2812)] = 127152, + [SMALL_STATE(2813)] = 127159, + [SMALL_STATE(2814)] = 127166, + [SMALL_STATE(2815)] = 127173, + [SMALL_STATE(2816)] = 127180, + [SMALL_STATE(2817)] = 127187, + [SMALL_STATE(2818)] = 127194, + [SMALL_STATE(2819)] = 127201, + [SMALL_STATE(2820)] = 127208, + [SMALL_STATE(2821)] = 127215, + [SMALL_STATE(2822)] = 127222, + [SMALL_STATE(2823)] = 127229, + [SMALL_STATE(2824)] = 127236, + [SMALL_STATE(2825)] = 127243, + [SMALL_STATE(2826)] = 127250, + [SMALL_STATE(2827)] = 127257, + [SMALL_STATE(2828)] = 127264, + [SMALL_STATE(2829)] = 127271, + [SMALL_STATE(2830)] = 127278, + [SMALL_STATE(2831)] = 127285, + [SMALL_STATE(2832)] = 127292, + [SMALL_STATE(2833)] = 127299, + [SMALL_STATE(2834)] = 127306, + [SMALL_STATE(2835)] = 127313, + [SMALL_STATE(2836)] = 127320, + [SMALL_STATE(2837)] = 127327, + [SMALL_STATE(2838)] = 127334, + [SMALL_STATE(2839)] = 127341, + [SMALL_STATE(2840)] = 127348, + [SMALL_STATE(2841)] = 127355, + [SMALL_STATE(2842)] = 127362, + [SMALL_STATE(2843)] = 127369, + [SMALL_STATE(2844)] = 127376, + [SMALL_STATE(2845)] = 127383, + [SMALL_STATE(2846)] = 127390, + [SMALL_STATE(2847)] = 127397, + [SMALL_STATE(2848)] = 127404, + [SMALL_STATE(2849)] = 127411, + [SMALL_STATE(2850)] = 127418, + [SMALL_STATE(2851)] = 127425, + [SMALL_STATE(2852)] = 127432, + [SMALL_STATE(2853)] = 127439, + [SMALL_STATE(2854)] = 127446, + [SMALL_STATE(2855)] = 127453, + [SMALL_STATE(2856)] = 127460, + [SMALL_STATE(2857)] = 127467, + [SMALL_STATE(2858)] = 127474, + [SMALL_STATE(2859)] = 127481, + [SMALL_STATE(2860)] = 127488, + [SMALL_STATE(2861)] = 127495, + [SMALL_STATE(2862)] = 127502, + [SMALL_STATE(2863)] = 127509, + [SMALL_STATE(2864)] = 127516, + [SMALL_STATE(2865)] = 127523, + [SMALL_STATE(2866)] = 127530, + [SMALL_STATE(2867)] = 127537, + [SMALL_STATE(2868)] = 127544, + [SMALL_STATE(2869)] = 127551, + [SMALL_STATE(2870)] = 127558, + [SMALL_STATE(2871)] = 127565, + [SMALL_STATE(2872)] = 127572, + [SMALL_STATE(2873)] = 127579, + [SMALL_STATE(2874)] = 127586, + [SMALL_STATE(2875)] = 127593, + [SMALL_STATE(2876)] = 127600, + [SMALL_STATE(2877)] = 127607, + [SMALL_STATE(2878)] = 127614, + [SMALL_STATE(2879)] = 127621, + [SMALL_STATE(2880)] = 127628, + [SMALL_STATE(2881)] = 127635, + [SMALL_STATE(2882)] = 127642, + [SMALL_STATE(2883)] = 127649, + [SMALL_STATE(2884)] = 127656, + [SMALL_STATE(2885)] = 127663, + [SMALL_STATE(2886)] = 127670, + [SMALL_STATE(2887)] = 127677, + [SMALL_STATE(2888)] = 127684, + [SMALL_STATE(2889)] = 127691, + [SMALL_STATE(2890)] = 127698, + [SMALL_STATE(2891)] = 127705, + [SMALL_STATE(2892)] = 127712, + [SMALL_STATE(2893)] = 127719, + [SMALL_STATE(2894)] = 127726, + [SMALL_STATE(2895)] = 127733, + [SMALL_STATE(2896)] = 127740, + [SMALL_STATE(2897)] = 127747, + [SMALL_STATE(2898)] = 127754, + [SMALL_STATE(2899)] = 127761, + [SMALL_STATE(2900)] = 127768, + [SMALL_STATE(2901)] = 127775, + [SMALL_STATE(2902)] = 127782, + [SMALL_STATE(2903)] = 127789, + [SMALL_STATE(2904)] = 127796, + [SMALL_STATE(2905)] = 127803, + [SMALL_STATE(2906)] = 127810, + [SMALL_STATE(2907)] = 127817, + [SMALL_STATE(2908)] = 127824, + [SMALL_STATE(2909)] = 127831, + [SMALL_STATE(2910)] = 127838, + [SMALL_STATE(2911)] = 127845, + [SMALL_STATE(2912)] = 127852, + [SMALL_STATE(2913)] = 127859, + [SMALL_STATE(2914)] = 127866, + [SMALL_STATE(2915)] = 127873, + [SMALL_STATE(2916)] = 127880, + [SMALL_STATE(2917)] = 127887, + [SMALL_STATE(2918)] = 127894, + [SMALL_STATE(2919)] = 127901, + [SMALL_STATE(2920)] = 127908, + [SMALL_STATE(2921)] = 127915, + [SMALL_STATE(2922)] = 127922, + [SMALL_STATE(2923)] = 127929, + [SMALL_STATE(2924)] = 127936, + [SMALL_STATE(2925)] = 127943, + [SMALL_STATE(2926)] = 127950, + [SMALL_STATE(2927)] = 127957, + [SMALL_STATE(2928)] = 127964, + [SMALL_STATE(2929)] = 127971, + [SMALL_STATE(2930)] = 127978, + [SMALL_STATE(2931)] = 127985, + [SMALL_STATE(2932)] = 127992, + [SMALL_STATE(2933)] = 127999, + [SMALL_STATE(2934)] = 128006, + [SMALL_STATE(2935)] = 128013, + [SMALL_STATE(2936)] = 128020, + [SMALL_STATE(2937)] = 128027, + [SMALL_STATE(2938)] = 128034, + [SMALL_STATE(2939)] = 128041, + [SMALL_STATE(2940)] = 128048, + [SMALL_STATE(2941)] = 128055, + [SMALL_STATE(2942)] = 128062, + [SMALL_STATE(2943)] = 128069, + [SMALL_STATE(2944)] = 128076, + [SMALL_STATE(2945)] = 128083, + [SMALL_STATE(2946)] = 128090, + [SMALL_STATE(2947)] = 128097, + [SMALL_STATE(2948)] = 128104, + [SMALL_STATE(2949)] = 128111, + [SMALL_STATE(2950)] = 128118, + [SMALL_STATE(2951)] = 128125, + [SMALL_STATE(2952)] = 128132, + [SMALL_STATE(2953)] = 128139, + [SMALL_STATE(2954)] = 128146, + [SMALL_STATE(2955)] = 128153, + [SMALL_STATE(2956)] = 128160, + [SMALL_STATE(2957)] = 128167, + [SMALL_STATE(2958)] = 128174, + [SMALL_STATE(2959)] = 128181, + [SMALL_STATE(2960)] = 128188, + [SMALL_STATE(2961)] = 128195, + [SMALL_STATE(2962)] = 128202, + [SMALL_STATE(2963)] = 128209, + [SMALL_STATE(2964)] = 128216, + [SMALL_STATE(2965)] = 128223, + [SMALL_STATE(2966)] = 128230, + [SMALL_STATE(2967)] = 128237, + [SMALL_STATE(2968)] = 128244, + [SMALL_STATE(2969)] = 128251, + [SMALL_STATE(2970)] = 128258, + [SMALL_STATE(2971)] = 128265, + [SMALL_STATE(2972)] = 128272, + [SMALL_STATE(2973)] = 128279, + [SMALL_STATE(2974)] = 128286, + [SMALL_STATE(2975)] = 128293, + [SMALL_STATE(2976)] = 128300, + [SMALL_STATE(2977)] = 128307, + [SMALL_STATE(2978)] = 128314, + [SMALL_STATE(2979)] = 128321, + [SMALL_STATE(2980)] = 128328, + [SMALL_STATE(2981)] = 128335, + [SMALL_STATE(2982)] = 128342, + [SMALL_STATE(2983)] = 128349, + [SMALL_STATE(2984)] = 128356, + [SMALL_STATE(2985)] = 128363, + [SMALL_STATE(2986)] = 128370, + [SMALL_STATE(2987)] = 128377, + [SMALL_STATE(2988)] = 128384, + [SMALL_STATE(2989)] = 128391, + [SMALL_STATE(2990)] = 128398, + [SMALL_STATE(2991)] = 128405, + [SMALL_STATE(2992)] = 128412, + [SMALL_STATE(2993)] = 128419, + [SMALL_STATE(2994)] = 128426, + [SMALL_STATE(2995)] = 128433, + [SMALL_STATE(2996)] = 128440, + [SMALL_STATE(2997)] = 128447, + [SMALL_STATE(2998)] = 128454, + [SMALL_STATE(2999)] = 128461, + [SMALL_STATE(3000)] = 128468, + [SMALL_STATE(3001)] = 128475, + [SMALL_STATE(3002)] = 128482, + [SMALL_STATE(3003)] = 128489, + [SMALL_STATE(3004)] = 128496, + [SMALL_STATE(3005)] = 128503, + [SMALL_STATE(3006)] = 128510, + [SMALL_STATE(3007)] = 128517, + [SMALL_STATE(3008)] = 128524, + [SMALL_STATE(3009)] = 128531, + [SMALL_STATE(3010)] = 128538, + [SMALL_STATE(3011)] = 128545, + [SMALL_STATE(3012)] = 128552, + [SMALL_STATE(3013)] = 128559, + [SMALL_STATE(3014)] = 128566, + [SMALL_STATE(3015)] = 128573, + [SMALL_STATE(3016)] = 128580, + [SMALL_STATE(3017)] = 128587, + [SMALL_STATE(3018)] = 128594, + [SMALL_STATE(3019)] = 128601, + [SMALL_STATE(3020)] = 128608, + [SMALL_STATE(3021)] = 128615, + [SMALL_STATE(3022)] = 128622, + [SMALL_STATE(3023)] = 128629, + [SMALL_STATE(3024)] = 128636, + [SMALL_STATE(3025)] = 128643, + [SMALL_STATE(3026)] = 128650, + [SMALL_STATE(3027)] = 128657, + [SMALL_STATE(3028)] = 128664, + [SMALL_STATE(3029)] = 128671, + [SMALL_STATE(3030)] = 128678, + [SMALL_STATE(3031)] = 128685, + [SMALL_STATE(3032)] = 128692, + [SMALL_STATE(3033)] = 128699, + [SMALL_STATE(3034)] = 128706, + [SMALL_STATE(3035)] = 128713, + [SMALL_STATE(3036)] = 128720, + [SMALL_STATE(3037)] = 128727, + [SMALL_STATE(3038)] = 128734, + [SMALL_STATE(3039)] = 128741, + [SMALL_STATE(3040)] = 128748, + [SMALL_STATE(3041)] = 128755, + [SMALL_STATE(3042)] = 128762, + [SMALL_STATE(3043)] = 128769, + [SMALL_STATE(3044)] = 128776, + [SMALL_STATE(3045)] = 128783, + [SMALL_STATE(3046)] = 128790, + [SMALL_STATE(3047)] = 128797, + [SMALL_STATE(3048)] = 128804, + [SMALL_STATE(3049)] = 128811, + [SMALL_STATE(3050)] = 128818, + [SMALL_STATE(3051)] = 128825, + [SMALL_STATE(3052)] = 128832, + [SMALL_STATE(3053)] = 128839, + [SMALL_STATE(3054)] = 128846, + [SMALL_STATE(3055)] = 128853, + [SMALL_STATE(3056)] = 128860, + [SMALL_STATE(3057)] = 128867, + [SMALL_STATE(3058)] = 128874, + [SMALL_STATE(3059)] = 128881, + [SMALL_STATE(3060)] = 128888, + [SMALL_STATE(3061)] = 128895, + [SMALL_STATE(3062)] = 128902, + [SMALL_STATE(3063)] = 128909, + [SMALL_STATE(3064)] = 128916, + [SMALL_STATE(3065)] = 128923, + [SMALL_STATE(3066)] = 128930, + [SMALL_STATE(3067)] = 128937, + [SMALL_STATE(3068)] = 128944, + [SMALL_STATE(3069)] = 128951, + [SMALL_STATE(3070)] = 128958, + [SMALL_STATE(3071)] = 128965, + [SMALL_STATE(3072)] = 128972, + [SMALL_STATE(3073)] = 128979, + [SMALL_STATE(3074)] = 128986, + [SMALL_STATE(3075)] = 128993, + [SMALL_STATE(3076)] = 129000, + [SMALL_STATE(3077)] = 129007, + [SMALL_STATE(3078)] = 129014, + [SMALL_STATE(3079)] = 129021, + [SMALL_STATE(3080)] = 129028, + [SMALL_STATE(3081)] = 129035, + [SMALL_STATE(3082)] = 129042, + [SMALL_STATE(3083)] = 129049, + [SMALL_STATE(3084)] = 129056, + [SMALL_STATE(3085)] = 129063, + [SMALL_STATE(3086)] = 129070, + [SMALL_STATE(3087)] = 129077, + [SMALL_STATE(3088)] = 129084, + [SMALL_STATE(3089)] = 129091, + [SMALL_STATE(3090)] = 129098, + [SMALL_STATE(3091)] = 129105, + [SMALL_STATE(3092)] = 129112, + [SMALL_STATE(3093)] = 129119, + [SMALL_STATE(3094)] = 129126, + [SMALL_STATE(3095)] = 129133, + [SMALL_STATE(3096)] = 129140, + [SMALL_STATE(3097)] = 129147, + [SMALL_STATE(3098)] = 129154, + [SMALL_STATE(3099)] = 129161, + [SMALL_STATE(3100)] = 129168, + [SMALL_STATE(3101)] = 129175, + [SMALL_STATE(3102)] = 129182, + [SMALL_STATE(3103)] = 129189, + [SMALL_STATE(3104)] = 129196, + [SMALL_STATE(3105)] = 129203, + [SMALL_STATE(3106)] = 129210, + [SMALL_STATE(3107)] = 129217, + [SMALL_STATE(3108)] = 129224, + [SMALL_STATE(3109)] = 129231, + [SMALL_STATE(3110)] = 129238, + [SMALL_STATE(3111)] = 129245, + [SMALL_STATE(3112)] = 129252, + [SMALL_STATE(3113)] = 129259, + [SMALL_STATE(3114)] = 129266, + [SMALL_STATE(3115)] = 129273, + [SMALL_STATE(3116)] = 129280, + [SMALL_STATE(3117)] = 129287, + [SMALL_STATE(3118)] = 129294, + [SMALL_STATE(3119)] = 129301, + [SMALL_STATE(3120)] = 129308, + [SMALL_STATE(3121)] = 129315, + [SMALL_STATE(3122)] = 129322, + [SMALL_STATE(3123)] = 129329, + [SMALL_STATE(3124)] = 129336, + [SMALL_STATE(3125)] = 129343, + [SMALL_STATE(3126)] = 129350, + [SMALL_STATE(3127)] = 129357, + [SMALL_STATE(3128)] = 129364, + [SMALL_STATE(3129)] = 129371, + [SMALL_STATE(3130)] = 129378, + [SMALL_STATE(3131)] = 129385, + [SMALL_STATE(3132)] = 129392, + [SMALL_STATE(3133)] = 129399, + [SMALL_STATE(3134)] = 129406, + [SMALL_STATE(3135)] = 129413, + [SMALL_STATE(3136)] = 129420, + [SMALL_STATE(3137)] = 129427, + [SMALL_STATE(3138)] = 129434, + [SMALL_STATE(3139)] = 129441, + [SMALL_STATE(3140)] = 129448, + [SMALL_STATE(3141)] = 129455, + [SMALL_STATE(3142)] = 129462, + [SMALL_STATE(3143)] = 129469, + [SMALL_STATE(3144)] = 129476, + [SMALL_STATE(3145)] = 129483, + [SMALL_STATE(3146)] = 129490, + [SMALL_STATE(3147)] = 129497, + [SMALL_STATE(3148)] = 129504, + [SMALL_STATE(3149)] = 129511, + [SMALL_STATE(3150)] = 129518, + [SMALL_STATE(3151)] = 129525, + [SMALL_STATE(3152)] = 129532, + [SMALL_STATE(3153)] = 129539, + [SMALL_STATE(3154)] = 129546, + [SMALL_STATE(3155)] = 129553, + [SMALL_STATE(3156)] = 129560, + [SMALL_STATE(3157)] = 129567, + [SMALL_STATE(3158)] = 129574, + [SMALL_STATE(3159)] = 129581, + [SMALL_STATE(3160)] = 129588, + [SMALL_STATE(3161)] = 129595, + [SMALL_STATE(3162)] = 129602, + [SMALL_STATE(3163)] = 129609, + [SMALL_STATE(3164)] = 129616, + [SMALL_STATE(3165)] = 129623, + [SMALL_STATE(3166)] = 129630, + [SMALL_STATE(3167)] = 129637, + [SMALL_STATE(3168)] = 129644, + [SMALL_STATE(3169)] = 129651, + [SMALL_STATE(3170)] = 129658, + [SMALL_STATE(3171)] = 129665, + [SMALL_STATE(3172)] = 129672, + [SMALL_STATE(3173)] = 129679, + [SMALL_STATE(3174)] = 129686, + [SMALL_STATE(3175)] = 129693, + [SMALL_STATE(3176)] = 129700, + [SMALL_STATE(3177)] = 129707, + [SMALL_STATE(3178)] = 129714, + [SMALL_STATE(3179)] = 129721, + [SMALL_STATE(3180)] = 129728, + [SMALL_STATE(3181)] = 129735, + [SMALL_STATE(3182)] = 129742, + [SMALL_STATE(3183)] = 129749, + [SMALL_STATE(3184)] = 129756, + [SMALL_STATE(3185)] = 129763, + [SMALL_STATE(3186)] = 129770, + [SMALL_STATE(3187)] = 129777, + [SMALL_STATE(3188)] = 129784, + [SMALL_STATE(3189)] = 129791, + [SMALL_STATE(3190)] = 129798, + [SMALL_STATE(3191)] = 129805, + [SMALL_STATE(3192)] = 129812, + [SMALL_STATE(3193)] = 129819, + [SMALL_STATE(3194)] = 129826, + [SMALL_STATE(3195)] = 129833, + [SMALL_STATE(3196)] = 129840, + [SMALL_STATE(3197)] = 129847, + [SMALL_STATE(3198)] = 129854, + [SMALL_STATE(3199)] = 129861, + [SMALL_STATE(3200)] = 129868, + [SMALL_STATE(3201)] = 129875, + [SMALL_STATE(3202)] = 129882, + [SMALL_STATE(3203)] = 129889, + [SMALL_STATE(3204)] = 129896, + [SMALL_STATE(3205)] = 129903, + [SMALL_STATE(3206)] = 129910, + [SMALL_STATE(3207)] = 129917, + [SMALL_STATE(3208)] = 129924, + [SMALL_STATE(3209)] = 129931, + [SMALL_STATE(3210)] = 129938, + [SMALL_STATE(3211)] = 129945, + [SMALL_STATE(3212)] = 129952, + [SMALL_STATE(3213)] = 129959, + [SMALL_STATE(3214)] = 129966, + [SMALL_STATE(3215)] = 129973, + [SMALL_STATE(3216)] = 129980, + [SMALL_STATE(3217)] = 129987, + [SMALL_STATE(3218)] = 129994, + [SMALL_STATE(3219)] = 130001, + [SMALL_STATE(3220)] = 130008, + [SMALL_STATE(3221)] = 130015, + [SMALL_STATE(3222)] = 130022, + [SMALL_STATE(3223)] = 130029, + [SMALL_STATE(3224)] = 130036, + [SMALL_STATE(3225)] = 130043, + [SMALL_STATE(3226)] = 130050, + [SMALL_STATE(3227)] = 130057, + [SMALL_STATE(3228)] = 130064, + [SMALL_STATE(3229)] = 130071, + [SMALL_STATE(3230)] = 130078, + [SMALL_STATE(3231)] = 130085, + [SMALL_STATE(3232)] = 130092, + [SMALL_STATE(3233)] = 130099, + [SMALL_STATE(3234)] = 130106, + [SMALL_STATE(3235)] = 130113, + [SMALL_STATE(3236)] = 130120, + [SMALL_STATE(3237)] = 130127, + [SMALL_STATE(3238)] = 130134, + [SMALL_STATE(3239)] = 130141, + [SMALL_STATE(3240)] = 130148, + [SMALL_STATE(3241)] = 130155, + [SMALL_STATE(3242)] = 130162, + [SMALL_STATE(3243)] = 130169, + [SMALL_STATE(3244)] = 130176, + [SMALL_STATE(3245)] = 130183, + [SMALL_STATE(3246)] = 130190, + [SMALL_STATE(3247)] = 130197, + [SMALL_STATE(3248)] = 130204, + [SMALL_STATE(3249)] = 130211, + [SMALL_STATE(3250)] = 130218, + [SMALL_STATE(3251)] = 130225, + [SMALL_STATE(3252)] = 130232, + [SMALL_STATE(3253)] = 130239, + [SMALL_STATE(3254)] = 130246, + [SMALL_STATE(3255)] = 130253, + [SMALL_STATE(3256)] = 130260, + [SMALL_STATE(3257)] = 130267, + [SMALL_STATE(3258)] = 130274, + [SMALL_STATE(3259)] = 130281, + [SMALL_STATE(3260)] = 130288, + [SMALL_STATE(3261)] = 130295, + [SMALL_STATE(3262)] = 130302, + [SMALL_STATE(3263)] = 130309, + [SMALL_STATE(3264)] = 130316, + [SMALL_STATE(3265)] = 130323, + [SMALL_STATE(3266)] = 130330, + [SMALL_STATE(3267)] = 130337, + [SMALL_STATE(3268)] = 130344, + [SMALL_STATE(3269)] = 130351, + [SMALL_STATE(3270)] = 130358, + [SMALL_STATE(3271)] = 130365, + [SMALL_STATE(3272)] = 130372, + [SMALL_STATE(3273)] = 130379, + [SMALL_STATE(3274)] = 130386, + [SMALL_STATE(3275)] = 130393, + [SMALL_STATE(3276)] = 130400, + [SMALL_STATE(3277)] = 130407, + [SMALL_STATE(3278)] = 130414, + [SMALL_STATE(3279)] = 130421, + [SMALL_STATE(3280)] = 130428, + [SMALL_STATE(3281)] = 130435, + [SMALL_STATE(3282)] = 130442, + [SMALL_STATE(3283)] = 130449, + [SMALL_STATE(3284)] = 130456, + [SMALL_STATE(3285)] = 130463, + [SMALL_STATE(3286)] = 130470, + [SMALL_STATE(3287)] = 130477, + [SMALL_STATE(3288)] = 130484, + [SMALL_STATE(3289)] = 130491, + [SMALL_STATE(3290)] = 130498, + [SMALL_STATE(3291)] = 130505, + [SMALL_STATE(3292)] = 130512, + [SMALL_STATE(3293)] = 130519, + [SMALL_STATE(3294)] = 130526, + [SMALL_STATE(3295)] = 130533, + [SMALL_STATE(3296)] = 130540, + [SMALL_STATE(3297)] = 130547, + [SMALL_STATE(3298)] = 130554, + [SMALL_STATE(3299)] = 130561, + [SMALL_STATE(3300)] = 130568, + [SMALL_STATE(3301)] = 130575, + [SMALL_STATE(3302)] = 130582, + [SMALL_STATE(3303)] = 130589, + [SMALL_STATE(3304)] = 130596, + [SMALL_STATE(3305)] = 130603, + [SMALL_STATE(3306)] = 130610, + [SMALL_STATE(3307)] = 130617, + [SMALL_STATE(3308)] = 130624, + [SMALL_STATE(3309)] = 130631, + [SMALL_STATE(3310)] = 130638, + [SMALL_STATE(3311)] = 130645, + [SMALL_STATE(3312)] = 130652, + [SMALL_STATE(3313)] = 130659, + [SMALL_STATE(3314)] = 130666, + [SMALL_STATE(3315)] = 130673, + [SMALL_STATE(3316)] = 130680, + [SMALL_STATE(3317)] = 130687, + [SMALL_STATE(3318)] = 130694, + [SMALL_STATE(3319)] = 130701, + [SMALL_STATE(3320)] = 130708, + [SMALL_STATE(3321)] = 130715, + [SMALL_STATE(3322)] = 130722, + [SMALL_STATE(3323)] = 130729, + [SMALL_STATE(3324)] = 130736, + [SMALL_STATE(3325)] = 130743, + [SMALL_STATE(3326)] = 130750, + [SMALL_STATE(3327)] = 130757, + [SMALL_STATE(3328)] = 130764, + [SMALL_STATE(3329)] = 130771, + [SMALL_STATE(3330)] = 130778, + [SMALL_STATE(3331)] = 130785, + [SMALL_STATE(3332)] = 130792, + [SMALL_STATE(3333)] = 130799, + [SMALL_STATE(3334)] = 130806, + [SMALL_STATE(3335)] = 130813, + [SMALL_STATE(3336)] = 130820, + [SMALL_STATE(3337)] = 130827, + [SMALL_STATE(3338)] = 130834, + [SMALL_STATE(3339)] = 130841, + [SMALL_STATE(3340)] = 130848, + [SMALL_STATE(3341)] = 130855, + [SMALL_STATE(3342)] = 130862, + [SMALL_STATE(3343)] = 130869, + [SMALL_STATE(3344)] = 130876, + [SMALL_STATE(3345)] = 130883, + [SMALL_STATE(3346)] = 130890, + [SMALL_STATE(3347)] = 130897, + [SMALL_STATE(3348)] = 130904, + [SMALL_STATE(3349)] = 130911, + [SMALL_STATE(3350)] = 130918, + [SMALL_STATE(3351)] = 130925, + [SMALL_STATE(3352)] = 130932, + [SMALL_STATE(3353)] = 130939, + [SMALL_STATE(3354)] = 130946, + [SMALL_STATE(3355)] = 130953, + [SMALL_STATE(3356)] = 130960, + [SMALL_STATE(3357)] = 130967, + [SMALL_STATE(3358)] = 130974, + [SMALL_STATE(3359)] = 130981, + [SMALL_STATE(3360)] = 130988, + [SMALL_STATE(3361)] = 130995, + [SMALL_STATE(3362)] = 131002, + [SMALL_STATE(3363)] = 131009, + [SMALL_STATE(3364)] = 131016, + [SMALL_STATE(3365)] = 131023, + [SMALL_STATE(3366)] = 131030, + [SMALL_STATE(3367)] = 131037, + [SMALL_STATE(3368)] = 131044, + [SMALL_STATE(3369)] = 131051, + [SMALL_STATE(3370)] = 131058, + [SMALL_STATE(3371)] = 131065, + [SMALL_STATE(3372)] = 131072, + [SMALL_STATE(3373)] = 131079, + [SMALL_STATE(3374)] = 131086, + [SMALL_STATE(3375)] = 131093, + [SMALL_STATE(3376)] = 131100, + [SMALL_STATE(3377)] = 131107, + [SMALL_STATE(3378)] = 131114, + [SMALL_STATE(3379)] = 131121, + [SMALL_STATE(3380)] = 131128, + [SMALL_STATE(3381)] = 131135, + [SMALL_STATE(3382)] = 131142, + [SMALL_STATE(3383)] = 131149, + [SMALL_STATE(3384)] = 131156, + [SMALL_STATE(3385)] = 131163, + [SMALL_STATE(3386)] = 131170, + [SMALL_STATE(3387)] = 131177, + [SMALL_STATE(3388)] = 131184, + [SMALL_STATE(3389)] = 131191, + [SMALL_STATE(3390)] = 131198, + [SMALL_STATE(3391)] = 131205, + [SMALL_STATE(3392)] = 131212, + [SMALL_STATE(3393)] = 131219, + [SMALL_STATE(3394)] = 131226, + [SMALL_STATE(3395)] = 131233, + [SMALL_STATE(3396)] = 131240, + [SMALL_STATE(3397)] = 131247, + [SMALL_STATE(3398)] = 131254, + [SMALL_STATE(3399)] = 131261, + [SMALL_STATE(3400)] = 131268, }; static const TSParseActionEntry ts_parse_actions[] = { @@ -77635,1600 +137995,2910 @@ static const TSParseActionEntry ts_parse_actions[] = { [1] = {.entry = {.count = 1, .reusable = false}}, RECOVER(), [3] = {.entry = {.count = 1, .reusable = true}}, SHIFT_EXTRA(), [5] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_source_file, 0), - [7] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1039), - [9] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1040), - [11] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1047), - [13] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1077), - [15] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1357), - [17] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1263), - [19] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1774), - [21] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1312), - [23] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1171), - [25] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2), - [27] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1839), - [29] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1348), - [31] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_select_clause, 1), - [33] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_select_clause, 1), - [35] = {.entry = {.count = 1, .reusable = false}}, SHIFT(332), - [37] = {.entry = {.count = 1, .reusable = false}}, SHIFT(54), - [39] = {.entry = {.count = 1, .reusable = true}}, SHIFT(213), - [41] = {.entry = {.count = 1, .reusable = false}}, SHIFT(136), - [43] = {.entry = {.count = 1, .reusable = false}}, SHIFT(134), - [45] = {.entry = {.count = 1, .reusable = false}}, SHIFT(58), - [47] = {.entry = {.count = 1, .reusable = false}}, SHIFT(14), - [49] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1698), - [51] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1699), - [53] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1822), - [55] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1819), - [57] = {.entry = {.count = 1, .reusable = true}}, SHIFT(327), - [59] = {.entry = {.count = 1, .reusable = false}}, SHIFT(327), - [61] = {.entry = {.count = 1, .reusable = true}}, SHIFT(112), - [63] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1374), - [65] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1816), - [67] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_identifier, 1), - [69] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_identifier, 1), - [71] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_array_type, 3), - [73] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_array_type, 3), - [75] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__quoted_identifier, 3, .production_id = 10), - [77] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym__quoted_identifier, 3, .production_id = 10), - [79] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_dotted_name, 2), - [81] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_dotted_name, 2), - [83] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1333), - [85] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__identifier, 1), - [87] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym__identifier, 1), - [89] = {.entry = {.count = 1, .reusable = true}}, SHIFT(278), - [91] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1337), - [93] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1438), - [95] = {.entry = {.count = 1, .reusable = true}}, SHIFT(410), - [97] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1174), - [99] = {.entry = {.count = 1, .reusable = true}}, SHIFT(267), - [101] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1318), - [103] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1419), - [105] = {.entry = {.count = 1, .reusable = true}}, SHIFT(388), - [107] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1176), - [109] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_dotted_name_repeat1, 2), - [111] = {.entry = {.count = 1, .reusable = false}}, REDUCE(aux_sym_dotted_name_repeat1, 2), - [113] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(1333), - [116] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1333), - [118] = {.entry = {.count = 1, .reusable = true}}, SHIFT(264), - [120] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1344), - [122] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1461), - [124] = {.entry = {.count = 1, .reusable = true}}, SHIFT(396), - [126] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1185), - [128] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(1318), - [131] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(1337), - [134] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1318), - [136] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1337), - [138] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(1344), - [141] = {.entry = {.count = 1, .reusable = false}}, SHIFT(428), - [143] = {.entry = {.count = 1, .reusable = false}}, SHIFT(622), - [145] = {.entry = {.count = 1, .reusable = true}}, SHIFT(192), - [147] = {.entry = {.count = 1, .reusable = false}}, SHIFT(617), - [149] = {.entry = {.count = 1, .reusable = false}}, SHIFT(616), - [151] = {.entry = {.count = 1, .reusable = false}}, SHIFT(605), - [153] = {.entry = {.count = 1, .reusable = false}}, SHIFT(244), - [155] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1772), - [157] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1773), - [159] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1757), - [161] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1758), - [163] = {.entry = {.count = 1, .reusable = true}}, SHIFT(305), - [165] = {.entry = {.count = 1, .reusable = false}}, SHIFT(305), - [167] = {.entry = {.count = 1, .reusable = true}}, SHIFT(604), - [169] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1422), - [171] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1578), - [173] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_type, 1), - [175] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_type, 1), - [177] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1531), - [179] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1344), - [181] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_type, 4), - [183] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_type, 4), - [185] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_NULL, 1, .production_id = 3), - [187] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_NULL, 1, .production_id = 3), - [189] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1537), - [191] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_type_cast, 3, .production_id = 12), - [193] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_type_cast, 3, .production_id = 12), - [195] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1625), - [197] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__parenthesized_expression, 3), - [199] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym__parenthesized_expression, 3), - [201] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_call, 5, .production_id = 34), - [203] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_function_call, 5, .production_id = 34), - [205] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_call, 4, .production_id = 21), - [207] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_function_call, 4, .production_id = 21), - [209] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__aliasable_expression, 1), - [211] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym__aliasable_expression, 1), - [213] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1724), - [215] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1323), - [217] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1512), - [219] = {.entry = {.count = 1, .reusable = true}}, SHIFT(395), - [221] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1201), - [223] = {.entry = {.count = 1, .reusable = false}}, SHIFT(329), - [225] = {.entry = {.count = 1, .reusable = false}}, SHIFT(286), - [227] = {.entry = {.count = 1, .reusable = false}}, SHIFT(10), - [229] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1825), - [231] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1824), - [233] = {.entry = {.count = 1, .reusable = true}}, SHIFT(299), - [235] = {.entry = {.count = 1, .reusable = false}}, SHIFT(299), - [237] = {.entry = {.count = 1, .reusable = false}}, SHIFT(395), - [239] = {.entry = {.count = 1, .reusable = true}}, SHIFT(421), - [241] = {.entry = {.count = 1, .reusable = true}}, SHIFT(422), - [243] = {.entry = {.count = 1, .reusable = false}}, SHIFT(422), - [245] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1485), - [247] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_call, 3, .production_id = 13), - [249] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_function_call, 3, .production_id = 13), - [251] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_string, 3, .production_id = 11), - [253] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_string, 3, .production_id = 11), - [255] = {.entry = {.count = 1, .reusable = true}}, SHIFT(259), - [257] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1303), - [259] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1424), - [261] = {.entry = {.count = 1, .reusable = true}}, SHIFT(337), - [263] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1193), - [265] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__expression, 1), - [267] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym__expression, 1), - [269] = {.entry = {.count = 1, .reusable = true}}, SHIFT(111), - [271] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1742), - [273] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1462), - [275] = {.entry = {.count = 1, .reusable = true}}, SHIFT(379), - [277] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1208), - [279] = {.entry = {.count = 1, .reusable = false}}, SHIFT(378), - [281] = {.entry = {.count = 1, .reusable = false}}, SHIFT(377), - [283] = {.entry = {.count = 1, .reusable = true}}, SHIFT(376), - [285] = {.entry = {.count = 1, .reusable = false}}, SHIFT(376), - [287] = {.entry = {.count = 1, .reusable = false}}, SHIFT(379), - [289] = {.entry = {.count = 1, .reusable = true}}, SHIFT(375), - [291] = {.entry = {.count = 1, .reusable = true}}, SHIFT(373), - [293] = {.entry = {.count = 1, .reusable = false}}, SHIFT(373), - [295] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1721), - [297] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_number, 1), - [299] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_number, 1), - [301] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_argument_reference, 2), - [303] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_argument_reference, 2), - [305] = {.entry = {.count = 1, .reusable = true}}, SHIFT(135), - [307] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1565), - [309] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1463), - [311] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_binary_expression, 3, .production_id = 14), - [313] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_binary_expression, 3, .production_id = 14), - [315] = {.entry = {.count = 1, .reusable = true}}, SHIFT(317), - [317] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_in_expression, 4), - [319] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_in_expression, 4), - [321] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_array_element_access, 4), - [323] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_array_element_access, 4), - [325] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_FALSE, 1), - [327] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_FALSE, 1), - [329] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_TRUE, 1), - [331] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_TRUE, 1), - [333] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_field_access, 3), - [335] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_field_access, 3), - [337] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_tuple, 4, .production_id = 47), - [339] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_tuple, 4, .production_id = 47), - [341] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_in_expression, 3), - [343] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_in_expression, 3), - [345] = {.entry = {.count = 1, .reusable = true}}, SHIFT(319), - [347] = {.entry = {.count = 1, .reusable = false}}, SHIFT(319), - [349] = {.entry = {.count = 1, .reusable = true}}, SHIFT(316), - [351] = {.entry = {.count = 1, .reusable = false}}, SHIFT(316), - [353] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_is_expression, 3), - [355] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_is_expression, 3), - [357] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_boolean_expression, 3), - [359] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_boolean_expression, 3), - [361] = {.entry = {.count = 1, .reusable = true}}, SHIFT(322), - [363] = {.entry = {.count = 1, .reusable = false}}, SHIFT(322), - [365] = {.entry = {.count = 1, .reusable = true}}, SHIFT(321), - [367] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_asterisk_expression, 1), - [369] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_asterisk_expression, 1), - [371] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_is_expression, 4), - [373] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_is_expression, 4), - [375] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_select_subexpression, 3), - [377] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_select_subexpression, 3), - [379] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_tuple, 3, .production_id = 35), - [381] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_tuple, 3, .production_id = 35), - [383] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_distinct_from, 3, .production_id = 36), - [385] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1751), - [387] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_distinct_from, 3, .production_id = 36), - [389] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1487), - [391] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1211), - [393] = {.entry = {.count = 1, .reusable = true}}, SHIFT(320), - [395] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1598), - [397] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_asterisk_expression, 2), - [399] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_asterisk_expression, 2), - [401] = {.entry = {.count = 1, .reusable = true}}, SHIFT(163), - [403] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_boolean_expression, 2), - [405] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_boolean_expression, 2), - [407] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_unary_expression, 2, .production_id = 8), - [409] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_unary_expression, 2, .production_id = 8), - [411] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_interval_expression, 2), - [413] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_interval_expression, 2), - [415] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1346), - [417] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(1303), - [420] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(1346), - [423] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_check_constraint, 2), - [425] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_check_constraint, 2), - [427] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1303), - [429] = {.entry = {.count = 1, .reusable = true}}, SHIFT(271), - [431] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1433), - [433] = {.entry = {.count = 1, .reusable = true}}, SHIFT(355), - [435] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1188), - [437] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1477), - [439] = {.entry = {.count = 1, .reusable = true}}, SHIFT(198), - [441] = {.entry = {.count = 1, .reusable = true}}, SHIFT(265), - [443] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1306), - [445] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1397), - [447] = {.entry = {.count = 1, .reusable = true}}, SHIFT(294), - [449] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1192), - [451] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1580), - [453] = {.entry = {.count = 1, .reusable = true}}, SHIFT(258), - [455] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1304), - [457] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1385), - [459] = {.entry = {.count = 1, .reusable = true}}, SHIFT(392), - [461] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1179), - [463] = {.entry = {.count = 1, .reusable = false}}, SHIFT(343), - [465] = {.entry = {.count = 1, .reusable = false}}, SHIFT(609), - [467] = {.entry = {.count = 1, .reusable = true}}, SHIFT(219), - [469] = {.entry = {.count = 1, .reusable = false}}, SHIFT(30), - [471] = {.entry = {.count = 1, .reusable = false}}, SHIFT(610), - [473] = {.entry = {.count = 1, .reusable = false}}, SHIFT(611), - [475] = {.entry = {.count = 1, .reusable = false}}, SHIFT(612), - [477] = {.entry = {.count = 1, .reusable = false}}, SHIFT(216), - [479] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1736), - [481] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1737), - [483] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1701), - [485] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1702), - [487] = {.entry = {.count = 1, .reusable = true}}, SHIFT(443), - [489] = {.entry = {.count = 1, .reusable = false}}, SHIFT(443), - [491] = {.entry = {.count = 1, .reusable = true}}, SHIFT(613), - [493] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1369), - [495] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1623), - [497] = {.entry = {.count = 1, .reusable = true}}, SHIFT(257), - [499] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1302), - [501] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1411), - [503] = {.entry = {.count = 1, .reusable = true}}, SHIFT(424), - [505] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1194), - [507] = {.entry = {.count = 1, .reusable = true}}, SHIFT(404), - [509] = {.entry = {.count = 1, .reusable = false}}, SHIFT(404), - [511] = {.entry = {.count = 1, .reusable = true}}, SHIFT(406), - [513] = {.entry = {.count = 1, .reusable = true}}, SHIFT(409), - [515] = {.entry = {.count = 1, .reusable = false}}, SHIFT(409), - [517] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_join_clause, 4), - [519] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1787), - [521] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1492), - [523] = {.entry = {.count = 1, .reusable = true}}, SHIFT(400), - [525] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1207), - [527] = {.entry = {.count = 1, .reusable = true}}, SHIFT(402), - [529] = {.entry = {.count = 1, .reusable = false}}, SHIFT(403), - [531] = {.entry = {.count = 1, .reusable = false}}, SHIFT(400), - [533] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_join_clause, 5), - [535] = {.entry = {.count = 1, .reusable = false}}, SHIFT(408), - [537] = {.entry = {.count = 1, .reusable = false}}, SHIFT(168), - [539] = {.entry = {.count = 1, .reusable = true}}, SHIFT(223), - [541] = {.entry = {.count = 1, .reusable = false}}, SHIFT(170), - [543] = {.entry = {.count = 1, .reusable = false}}, SHIFT(169), - [545] = {.entry = {.count = 1, .reusable = false}}, SHIFT(159), - [547] = {.entry = {.count = 1, .reusable = false}}, SHIFT(23), - [549] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1754), - [551] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1755), - [553] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1739), - [555] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1740), - [557] = {.entry = {.count = 1, .reusable = true}}, SHIFT(397), - [559] = {.entry = {.count = 1, .reusable = false}}, SHIFT(397), - [561] = {.entry = {.count = 1, .reusable = true}}, SHIFT(144), - [563] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1450), - [565] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1605), - [567] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(1304), - [570] = {.entry = {.count = 1, .reusable = false}}, SHIFT(330), - [572] = {.entry = {.count = 1, .reusable = false}}, SHIFT(647), - [574] = {.entry = {.count = 1, .reusable = true}}, SHIFT(224), - [576] = {.entry = {.count = 1, .reusable = false}}, SHIFT(648), - [578] = {.entry = {.count = 1, .reusable = false}}, SHIFT(650), - [580] = {.entry = {.count = 1, .reusable = false}}, SHIFT(633), - [582] = {.entry = {.count = 1, .reusable = false}}, SHIFT(253), - [584] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1808), - [586] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1809), - [588] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1775), - [590] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1776), - [592] = {.entry = {.count = 1, .reusable = true}}, SHIFT(354), - [594] = {.entry = {.count = 1, .reusable = false}}, SHIFT(354), - [596] = {.entry = {.count = 1, .reusable = true}}, SHIFT(651), - [598] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1406), - [600] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1545), - [602] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(1306), - [605] = {.entry = {.count = 1, .reusable = true}}, SHIFT(249), - [607] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1308), - [609] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1387), - [611] = {.entry = {.count = 1, .reusable = true}}, SHIFT(381), - [613] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1195), - [615] = {.entry = {.count = 1, .reusable = true}}, SHIFT(571), - [617] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(1302), - [620] = {.entry = {.count = 1, .reusable = false}}, SHIFT(445), - [622] = {.entry = {.count = 1, .reusable = false}}, SHIFT(868), - [624] = {.entry = {.count = 1, .reusable = true}}, SHIFT(227), - [626] = {.entry = {.count = 1, .reusable = false}}, SHIFT(867), - [628] = {.entry = {.count = 1, .reusable = false}}, SHIFT(866), - [630] = {.entry = {.count = 1, .reusable = false}}, SHIFT(842), - [632] = {.entry = {.count = 1, .reusable = false}}, SHIFT(675), - [634] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1799), - [636] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1800), - [638] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1766), - [640] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1767), - [642] = {.entry = {.count = 1, .reusable = true}}, SHIFT(335), - [644] = {.entry = {.count = 1, .reusable = false}}, SHIFT(335), - [646] = {.entry = {.count = 1, .reusable = true}}, SHIFT(865), - [648] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1390), - [650] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1562), - [652] = {.entry = {.count = 1, .reusable = false}}, SHIFT(446), - [654] = {.entry = {.count = 1, .reusable = false}}, SHIFT(752), - [656] = {.entry = {.count = 1, .reusable = true}}, SHIFT(209), - [658] = {.entry = {.count = 1, .reusable = false}}, SHIFT(753), - [660] = {.entry = {.count = 1, .reusable = false}}, SHIFT(754), - [662] = {.entry = {.count = 1, .reusable = false}}, SHIFT(763), - [664] = {.entry = {.count = 1, .reusable = false}}, SHIFT(663), - [666] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1833), - [668] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1834), - [670] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1811), - [672] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1812), - [674] = {.entry = {.count = 1, .reusable = true}}, SHIFT(285), - [676] = {.entry = {.count = 1, .reusable = false}}, SHIFT(285), - [678] = {.entry = {.count = 1, .reusable = true}}, SHIFT(755), - [680] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1386), - [682] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1637), - [684] = {.entry = {.count = 1, .reusable = false}}, SHIFT(412), - [686] = {.entry = {.count = 1, .reusable = false}}, SHIFT(82), - [688] = {.entry = {.count = 1, .reusable = true}}, SHIFT(196), - [690] = {.entry = {.count = 1, .reusable = false}}, SHIFT(81), - [692] = {.entry = {.count = 1, .reusable = false}}, SHIFT(80), - [694] = {.entry = {.count = 1, .reusable = false}}, SHIFT(98), - [696] = {.entry = {.count = 1, .reusable = false}}, SHIFT(16), - [698] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1763), - [700] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1764), - [702] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1748), - [704] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1749), - [706] = {.entry = {.count = 1, .reusable = true}}, SHIFT(336), - [708] = {.entry = {.count = 1, .reusable = false}}, SHIFT(336), - [710] = {.entry = {.count = 1, .reusable = true}}, SHIFT(94), - [712] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1448), - [714] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1590), - [716] = {.entry = {.count = 1, .reusable = false}}, SHIFT(390), - [718] = {.entry = {.count = 1, .reusable = false}}, SHIFT(35), - [720] = {.entry = {.count = 1, .reusable = true}}, SHIFT(229), - [722] = {.entry = {.count = 1, .reusable = false}}, SHIFT(462), - [724] = {.entry = {.count = 1, .reusable = false}}, SHIFT(463), - [726] = {.entry = {.count = 1, .reusable = false}}, SHIFT(464), - [728] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3), - [730] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1745), - [732] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1746), - [734] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1730), - [736] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1731), - [738] = {.entry = {.count = 1, .reusable = true}}, SHIFT(426), - [740] = {.entry = {.count = 1, .reusable = false}}, SHIFT(426), - [742] = {.entry = {.count = 1, .reusable = true}}, SHIFT(465), - [744] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1421), - [746] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1585), - [748] = {.entry = {.count = 1, .reusable = true}}, SHIFT(509), - [750] = {.entry = {.count = 1, .reusable = true}}, SHIFT(547), - [752] = {.entry = {.count = 1, .reusable = true}}, SHIFT(188), - [754] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1306), - [756] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1107), - [758] = {.entry = {.count = 1, .reusable = true}}, SHIFT(105), - [760] = {.entry = {.count = 1, .reusable = true}}, SHIFT(497), - [762] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1304), - [764] = {.entry = {.count = 1, .reusable = true}}, SHIFT(59), - [766] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1135), - [768] = {.entry = {.count = 1, .reusable = true}}, SHIFT(45), - [770] = {.entry = {.count = 1, .reusable = true}}, SHIFT(807), - [772] = {.entry = {.count = 1, .reusable = true}}, SHIFT(741), - [774] = {.entry = {.count = 1, .reusable = true}}, SHIFT(678), - [776] = {.entry = {.count = 1, .reusable = true}}, SHIFT(787), - [778] = {.entry = {.count = 1, .reusable = true}}, SHIFT(48), - [780] = {.entry = {.count = 1, .reusable = false}}, SHIFT(394), - [782] = {.entry = {.count = 1, .reusable = false}}, SHIFT(529), - [784] = {.entry = {.count = 1, .reusable = true}}, SHIFT(212), - [786] = {.entry = {.count = 1, .reusable = false}}, SHIFT(500), - [788] = {.entry = {.count = 1, .reusable = false}}, SHIFT(498), - [790] = {.entry = {.count = 1, .reusable = false}}, SHIFT(549), - [792] = {.entry = {.count = 1, .reusable = false}}, SHIFT(208), - [794] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1829), - [796] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1830), - [798] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1802), - [800] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1803), - [802] = {.entry = {.count = 1, .reusable = true}}, SHIFT(383), - [804] = {.entry = {.count = 1, .reusable = false}}, SHIFT(383), - [806] = {.entry = {.count = 1, .reusable = true}}, SHIFT(521), - [808] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1426), - [810] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1614), - [812] = {.entry = {.count = 1, .reusable = false}}, SHIFT(420), - [814] = {.entry = {.count = 1, .reusable = false}}, SHIFT(817), - [816] = {.entry = {.count = 1, .reusable = true}}, SHIFT(193), - [818] = {.entry = {.count = 1, .reusable = false}}, SHIFT(816), - [820] = {.entry = {.count = 1, .reusable = false}}, SHIFT(815), - [822] = {.entry = {.count = 1, .reusable = false}}, SHIFT(841), - [824] = {.entry = {.count = 1, .reusable = false}}, SHIFT(683), - [826] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1826), - [828] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1827), - [830] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1793), - [832] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1794), - [834] = {.entry = {.count = 1, .reusable = true}}, SHIFT(442), - [836] = {.entry = {.count = 1, .reusable = false}}, SHIFT(442), - [838] = {.entry = {.count = 1, .reusable = true}}, SHIFT(814), - [840] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1454), - [842] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1591), - [844] = {.entry = {.count = 1, .reusable = false}}, SHIFT(425), - [846] = {.entry = {.count = 1, .reusable = false}}, SHIFT(727), - [848] = {.entry = {.count = 1, .reusable = true}}, SHIFT(214), - [850] = {.entry = {.count = 1, .reusable = false}}, SHIFT(728), - [852] = {.entry = {.count = 1, .reusable = false}}, SHIFT(729), - [854] = {.entry = {.count = 1, .reusable = false}}, SHIFT(713), - [856] = {.entry = {.count = 1, .reusable = false}}, SHIFT(607), - [858] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1835), - [860] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1836), - [862] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1820), - [864] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1821), - [866] = {.entry = {.count = 1, .reusable = true}}, SHIFT(302), - [868] = {.entry = {.count = 1, .reusable = false}}, SHIFT(302), - [870] = {.entry = {.count = 1, .reusable = true}}, SHIFT(731), - [872] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1452), - [874] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1660), - [876] = {.entry = {.count = 1, .reusable = false}}, SHIFT(441), - [878] = {.entry = {.count = 1, .reusable = false}}, SHIFT(211), - [880] = {.entry = {.count = 1, .reusable = true}}, SHIFT(221), - [882] = {.entry = {.count = 1, .reusable = false}}, SHIFT(222), - [884] = {.entry = {.count = 1, .reusable = false}}, SHIFT(218), - [886] = {.entry = {.count = 1, .reusable = false}}, SHIFT(228), - [888] = {.entry = {.count = 1, .reusable = false}}, SHIFT(138), - [890] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1817), - [892] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1818), - [894] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1784), - [896] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1785), - [898] = {.entry = {.count = 1, .reusable = true}}, SHIFT(386), - [900] = {.entry = {.count = 1, .reusable = false}}, SHIFT(386), - [902] = {.entry = {.count = 1, .reusable = true}}, SHIFT(215), - [904] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1416), - [906] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1568), - [908] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(1308), - [911] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1302), - [913] = {.entry = {.count = 1, .reusable = true}}, SHIFT(471), - [915] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1538), - [917] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1308), - [919] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_group_by_clause_body, 1), - [921] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1733), - [923] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1489), - [925] = {.entry = {.count = 1, .reusable = true}}, SHIFT(418), - [927] = {.entry = {.count = 1, .reusable = true}}, SHIFT(372), - [929] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1198), - [931] = {.entry = {.count = 1, .reusable = true}}, SHIFT(417), - [933] = {.entry = {.count = 1, .reusable = false}}, SHIFT(416), - [935] = {.entry = {.count = 1, .reusable = true}}, SHIFT(415), - [937] = {.entry = {.count = 1, .reusable = false}}, SHIFT(415), - [939] = {.entry = {.count = 1, .reusable = false}}, SHIFT(418), - [941] = {.entry = {.count = 1, .reusable = true}}, SHIFT(414), - [943] = {.entry = {.count = 1, .reusable = true}}, SHIFT(413), - [945] = {.entry = {.count = 1, .reusable = false}}, SHIFT(413), - [947] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1760), - [949] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1510), - [951] = {.entry = {.count = 1, .reusable = true}}, SHIFT(288), - [953] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1210), - [955] = {.entry = {.count = 1, .reusable = false}}, SHIFT(287), - [957] = {.entry = {.count = 1, .reusable = false}}, SHIFT(307), - [959] = {.entry = {.count = 1, .reusable = true}}, SHIFT(303), - [961] = {.entry = {.count = 1, .reusable = false}}, SHIFT(303), - [963] = {.entry = {.count = 1, .reusable = false}}, SHIFT(288), - [965] = {.entry = {.count = 1, .reusable = true}}, SHIFT(297), - [967] = {.entry = {.count = 1, .reusable = true}}, SHIFT(314), - [969] = {.entry = {.count = 1, .reusable = false}}, SHIFT(314), - [971] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1523), - [973] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1517), - [975] = {.entry = {.count = 1, .reusable = true}}, SHIFT(504), - [977] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1630), - [979] = {.entry = {.count = 1, .reusable = true}}, SHIFT(338), - [981] = {.entry = {.count = 1, .reusable = false}}, SHIFT(338), - [983] = {.entry = {.count = 1, .reusable = true}}, SHIFT(331), - [985] = {.entry = {.count = 1, .reusable = true}}, SHIFT(315), - [987] = {.entry = {.count = 1, .reusable = false}}, SHIFT(315), - [989] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_assigment_expression, 3), - [991] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1553), - [993] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1778), - [995] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1525), - [997] = {.entry = {.count = 1, .reusable = true}}, SHIFT(357), - [999] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1199), - [1001] = {.entry = {.count = 1, .reusable = false}}, SHIFT(359), - [1003] = {.entry = {.count = 1, .reusable = false}}, SHIFT(360), - [1005] = {.entry = {.count = 1, .reusable = true}}, SHIFT(361), - [1007] = {.entry = {.count = 1, .reusable = false}}, SHIFT(361), - [1009] = {.entry = {.count = 1, .reusable = false}}, SHIFT(357), - [1011] = {.entry = {.count = 1, .reusable = true}}, SHIFT(362), - [1013] = {.entry = {.count = 1, .reusable = true}}, SHIFT(364), - [1015] = {.entry = {.count = 1, .reusable = false}}, SHIFT(364), - [1017] = {.entry = {.count = 1, .reusable = true}}, SHIFT(280), - [1019] = {.entry = {.count = 1, .reusable = false}}, SHIFT(280), - [1021] = {.entry = {.count = 1, .reusable = true}}, SHIFT(356), - [1023] = {.entry = {.count = 1, .reusable = true}}, SHIFT(275), - [1025] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1334), - [1027] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1409), - [1029] = {.entry = {.count = 1, .reusable = true}}, SHIFT(339), - [1031] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1177), - [1033] = {.entry = {.count = 1, .reusable = true}}, SHIFT(599), - [1035] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_where_clause, 2), - [1037] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1476), - [1039] = {.entry = {.count = 1, .reusable = true}}, SHIFT(587), - [1041] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_group_by_clause_body_repeat1, 2), - [1043] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_table_constraint_check, 2), - [1045] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1805), - [1047] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1516), - [1049] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1204), - [1051] = {.entry = {.count = 1, .reusable = true}}, SHIFT(351), - [1053] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_order_by_clause_body, 1), - [1055] = {.entry = {.count = 1, .reusable = true}}, SHIFT(405), - [1057] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1609), - [1059] = {.entry = {.count = 1, .reusable = true}}, SHIFT(435), - [1061] = {.entry = {.count = 1, .reusable = false}}, SHIFT(435), - [1063] = {.entry = {.count = 1, .reusable = true}}, SHIFT(434), - [1065] = {.entry = {.count = 1, .reusable = true}}, SHIFT(433), - [1067] = {.entry = {.count = 1, .reusable = false}}, SHIFT(433), - [1069] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1584), - [1071] = {.entry = {.count = 1, .reusable = true}}, SHIFT(439), - [1073] = {.entry = {.count = 1, .reusable = true}}, SHIFT(438), - [1075] = {.entry = {.count = 1, .reusable = false}}, SHIFT(439), - [1077] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1709), - [1079] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1528), - [1081] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1197), - [1083] = {.entry = {.count = 1, .reusable = true}}, SHIFT(437), - [1085] = {.entry = {.count = 1, .reusable = true}}, SHIFT(657), - [1087] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_set_statement, 5, .production_id = 19), - [1089] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_alter_table_action_set, 2), - [1091] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_table_column, 2, .production_id = 23), - [1093] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1153), - [1095] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1475), - [1097] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1707), - [1099] = {.entry = {.count = 1, .reusable = true}}, SHIFT(82), - [1101] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1270), - [1103] = {.entry = {.count = 1, .reusable = true}}, SHIFT(873), - [1105] = {.entry = {.count = 1, .reusable = true}}, SHIFT(885), - [1107] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1706), - [1109] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1340), - [1111] = {.entry = {.count = 1, .reusable = true}}, SHIFT(363), - [1113] = {.entry = {.count = 1, .reusable = true}}, SHIFT(812), - [1115] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1705), - [1117] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_set_statement, 4), - [1119] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(1334), - [1122] = {.entry = {.count = 1, .reusable = true}}, SHIFT(274), - [1124] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1345), - [1126] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1432), - [1128] = {.entry = {.count = 1, .reusable = true}}, SHIFT(310), - [1130] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1183), - [1132] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1334), - [1134] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_table_column, 3, .production_id = 23), - [1136] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1814), - [1138] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1470), - [1140] = {.entry = {.count = 1, .reusable = true}}, SHIFT(289), - [1142] = {.entry = {.count = 1, .reusable = true}}, SHIFT(276), - [1144] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1030), - [1146] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1309), - [1148] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1200), - [1150] = {.entry = {.count = 1, .reusable = false}}, SHIFT(290), - [1152] = {.entry = {.count = 1, .reusable = false}}, SHIFT(291), - [1154] = {.entry = {.count = 1, .reusable = true}}, SHIFT(292), - [1156] = {.entry = {.count = 1, .reusable = false}}, SHIFT(292), - [1158] = {.entry = {.count = 1, .reusable = false}}, SHIFT(289), - [1160] = {.entry = {.count = 1, .reusable = true}}, SHIFT(293), - [1162] = {.entry = {.count = 1, .reusable = true}}, SHIFT(295), - [1164] = {.entry = {.count = 1, .reusable = false}}, SHIFT(295), - [1166] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_table_column_repeat1, 2), - [1168] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_table_column_repeat1, 2), SHIFT_REPEAT(1153), - [1171] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_table_column_repeat1, 2), SHIFT_REPEAT(1475), - [1174] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_table_column_repeat1, 2), SHIFT_REPEAT(1707), - [1177] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_table_column_repeat1, 2), SHIFT_REPEAT(82), - [1180] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_table_column_repeat1, 2), SHIFT_REPEAT(1270), - [1183] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_table_column_repeat1, 2), SHIFT_REPEAT(873), - [1186] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_table_column_repeat1, 2), SHIFT_REPEAT(885), - [1189] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_table_column_repeat1, 2), SHIFT_REPEAT(1706), - [1192] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_table_column_repeat1, 2), SHIFT_REPEAT(1340), - [1195] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_table_column_repeat1, 2), SHIFT_REPEAT(363), - [1198] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_table_column_repeat1, 2), SHIFT_REPEAT(812), - [1201] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_table_column_repeat1, 2), SHIFT_REPEAT(1705), - [1204] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_index_table_parameters_repeat1, 2), - [1206] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(1345), - [1209] = {.entry = {.count = 1, .reusable = true}}, SHIFT(277), - [1211] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1313), - [1213] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1378), - [1215] = {.entry = {.count = 1, .reusable = true}}, SHIFT(432), - [1217] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1186), - [1219] = {.entry = {.count = 1, .reusable = true}}, SHIFT(273), - [1221] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1322), - [1223] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1363), - [1225] = {.entry = {.count = 1, .reusable = true}}, SHIFT(281), - [1227] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1187), - [1229] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1499), - [1231] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1345), - [1233] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1480), - [1235] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1676), - [1237] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_references_constraint, 2), - [1239] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_references_constraint, 2), - [1241] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1479), - [1243] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1315), - [1245] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_source_file_repeat1, 2), - [1247] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_source_file_repeat1, 2), SHIFT_REPEAT(1039), - [1250] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_source_file_repeat1, 2), SHIFT_REPEAT(1040), - [1253] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_source_file_repeat1, 2), SHIFT_REPEAT(1047), - [1256] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_source_file_repeat1, 2), SHIFT_REPEAT(1077), - [1259] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_source_file_repeat1, 2), SHIFT_REPEAT(1357), - [1262] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_source_file_repeat1, 2), SHIFT_REPEAT(1263), - [1265] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_source_file_repeat1, 2), SHIFT_REPEAT(1774), - [1268] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_source_file_repeat1, 2), SHIFT_REPEAT(1312), - [1271] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_source_file_repeat1, 2), SHIFT_REPEAT(1171), - [1274] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_source_file_repeat1, 2), SHIFT_REPEAT(2), - [1277] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_source_file_repeat1, 2), SHIFT_REPEAT(1839), - [1280] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_source_file_repeat1, 2), SHIFT_REPEAT(1348), - [1283] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_source_file, 1), - [1285] = {.entry = {.count = 1, .reusable = true}}, SHIFT(717), - [1287] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1823), - [1289] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1515), - [1291] = {.entry = {.count = 1, .reusable = true}}, SHIFT(304), - [1293] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1205), - [1295] = {.entry = {.count = 1, .reusable = true}}, SHIFT(311), - [1297] = {.entry = {.count = 1, .reusable = false}}, SHIFT(313), - [1299] = {.entry = {.count = 1, .reusable = true}}, SHIFT(323), - [1301] = {.entry = {.count = 1, .reusable = false}}, SHIFT(323), - [1303] = {.entry = {.count = 1, .reusable = false}}, SHIFT(304), - [1305] = {.entry = {.count = 1, .reusable = true}}, SHIFT(324), - [1307] = {.entry = {.count = 1, .reusable = true}}, SHIFT(325), - [1309] = {.entry = {.count = 1, .reusable = false}}, SHIFT(325), - [1311] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(1313), - [1314] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_references_constraint, 3), - [1316] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_references_constraint, 3), - [1318] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_references_constraint, 7), - [1320] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_references_constraint, 7), - [1322] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(1322), - [1325] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_references_constraint, 6), - [1327] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_references_constraint, 6), - [1329] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_references_constraint_repeat1, 2), - [1331] = {.entry = {.count = 1, .reusable = false}}, REDUCE(aux_sym_references_constraint_repeat1, 2), - [1333] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_references_constraint_repeat1, 2), SHIFT_REPEAT(1479), - [1336] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1527), - [1338] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_references_constraint, 5), - [1340] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_references_constraint, 5), - [1342] = {.entry = {.count = 1, .reusable = true}}, SHIFT(759), - [1344] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1313), - [1346] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1322), - [1348] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1653), - [1350] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_function_statement, 8, .production_id = 42), - [1352] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1412), - [1354] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1697), - [1356] = {.entry = {.count = 1, .reusable = true}}, SHIFT(956), - [1358] = {.entry = {.count = 1, .reusable = true}}, SHIFT(947), - [1360] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1692), - [1362] = {.entry = {.count = 1, .reusable = true}}, SHIFT(953), - [1364] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1326), - [1366] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_on_update_action, 3, .production_id = 66), - [1368] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_on_update_action, 3, .production_id = 66), - [1370] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_on_delete_action, 3, .production_id = 67), - [1372] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_on_delete_action, 3, .production_id = 67), - [1374] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1524), - [1376] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_function_statement, 7, .production_id = 28), - [1378] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_function_statement, 6, .production_id = 28), - [1380] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__constraint_action, 2, .production_id = 72), - [1382] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym__constraint_action, 2, .production_id = 72), - [1384] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1478), - [1386] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_create_function_statement_repeat1, 2), - [1388] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_create_function_statement_repeat1, 2), SHIFT_REPEAT(1412), - [1391] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_create_function_statement_repeat1, 2), SHIFT_REPEAT(1697), - [1394] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_create_function_statement_repeat1, 2), SHIFT_REPEAT(956), - [1397] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_create_function_statement_repeat1, 2), SHIFT_REPEAT(947), - [1400] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_create_function_statement_repeat1, 2), SHIFT_REPEAT(1692), - [1403] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_create_function_statement_repeat1, 2), SHIFT_REPEAT(953), - [1406] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_create_function_statement_repeat1, 2), SHIFT_REPEAT(1326), - [1409] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_function_statement, 7, .production_id = 42), - [1411] = {.entry = {.count = 1, .reusable = true}}, SHIFT(860), - [1413] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1561), - [1415] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_setof, 2), - [1417] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1501), - [1419] = {.entry = {.count = 1, .reusable = true}}, SHIFT(35), - [1421] = {.entry = {.count = 1, .reusable = true}}, SHIFT(822), - [1423] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__create_function_return_type, 1), - [1425] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1607), - [1427] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_unique_constraint, 1), - [1429] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_unique_constraint, 1), - [1431] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_null_constraint, 1), - [1433] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_null_constraint, 1), - [1435] = {.entry = {.count = 1, .reusable = true}}, SHIFT(298), - [1437] = {.entry = {.count = 1, .reusable = false}}, SHIFT(298), - [1439] = {.entry = {.count = 1, .reusable = true}}, SHIFT(391), - [1441] = {.entry = {.count = 1, .reusable = true}}, SHIFT(389), - [1443] = {.entry = {.count = 1, .reusable = false}}, SHIFT(389), - [1445] = {.entry = {.count = 1, .reusable = true}}, SHIFT(444), - [1447] = {.entry = {.count = 1, .reusable = false}}, SHIFT(444), - [1449] = {.entry = {.count = 1, .reusable = true}}, SHIFT(447), - [1451] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_null_constraint, 2), - [1453] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_null_constraint, 2), - [1455] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1769), - [1457] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1533), - [1459] = {.entry = {.count = 1, .reusable = true}}, SHIFT(341), - [1461] = {.entry = {.count = 1, .reusable = true}}, SHIFT(411), - [1463] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1202), - [1465] = {.entry = {.count = 1, .reusable = true}}, SHIFT(342), - [1467] = {.entry = {.count = 1, .reusable = false}}, SHIFT(344), - [1469] = {.entry = {.count = 1, .reusable = true}}, SHIFT(345), - [1471] = {.entry = {.count = 1, .reusable = false}}, SHIFT(345), - [1473] = {.entry = {.count = 1, .reusable = false}}, SHIFT(341), - [1475] = {.entry = {.count = 1, .reusable = true}}, SHIFT(348), - [1477] = {.entry = {.count = 1, .reusable = true}}, SHIFT(350), - [1479] = {.entry = {.count = 1, .reusable = false}}, SHIFT(350), - [1481] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1796), - [1483] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1473), - [1485] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1203), - [1487] = {.entry = {.count = 1, .reusable = true}}, SHIFT(448), - [1489] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_select_statement, 1), - [1491] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1815), - [1493] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1813), - [1495] = {.entry = {.count = 1, .reusable = true}}, SHIFT(306), - [1497] = {.entry = {.count = 1, .reusable = true}}, SHIFT(238), - [1499] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1810), - [1501] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1519), - [1503] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1295), - [1505] = {.entry = {.count = 1, .reusable = true}}, SHIFT(301), - [1507] = {.entry = {.count = 1, .reusable = true}}, SHIFT(544), - [1509] = {.entry = {.count = 1, .reusable = true}}, SHIFT(114), - [1511] = {.entry = {.count = 1, .reusable = true}}, SHIFT(740), - [1513] = {.entry = {.count = 1, .reusable = true}}, SHIFT(42), - [1515] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_auto_increment_constraint, 1), - [1517] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_auto_increment_constraint, 1), - [1519] = {.entry = {.count = 1, .reusable = true}}, SHIFT(71), - [1521] = {.entry = {.count = 1, .reusable = true}}, SHIFT(235), - [1523] = {.entry = {.count = 1, .reusable = true}}, SHIFT(148), - [1525] = {.entry = {.count = 1, .reusable = true}}, SHIFT(770), - [1527] = {.entry = {.count = 1, .reusable = true}}, SHIFT(99), - [1529] = {.entry = {.count = 1, .reusable = true}}, SHIFT(576), - [1531] = {.entry = {.count = 1, .reusable = true}}, SHIFT(811), - [1533] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__column_default_expression, 1), - [1535] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym__column_default_expression, 1), - [1537] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1180), - [1539] = {.entry = {.count = 1, .reusable = true}}, SHIFT(793), - [1541] = {.entry = {.count = 1, .reusable = true}}, SHIFT(681), - [1543] = {.entry = {.count = 1, .reusable = true}}, SHIFT(461), - [1545] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_direction_constraint, 1), - [1547] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_direction_constraint, 1), - [1549] = {.entry = {.count = 1, .reusable = true}}, SHIFT(101), - [1551] = {.entry = {.count = 1, .reusable = true}}, SHIFT(618), - [1553] = {.entry = {.count = 1, .reusable = true}}, SHIFT(554), - [1555] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_time_zone_constraint, 3), - [1557] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_time_zone_constraint, 3), - [1559] = {.entry = {.count = 1, .reusable = true}}, SHIFT(181), - [1561] = {.entry = {.count = 1, .reusable = true}}, SHIFT(584), - [1563] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_time_zone_constraint, 3, .production_id = 55), - [1565] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_time_zone_constraint, 3, .production_id = 55), - [1567] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_values_clause_body, 1), - [1569] = {.entry = {.count = 1, .reusable = true}}, SHIFT(700), - [1571] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_primary_key_constraint, 2), - [1573] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_primary_key_constraint, 2), - [1575] = {.entry = {.count = 1, .reusable = true}}, SHIFT(457), - [1577] = {.entry = {.count = 1, .reusable = true}}, SHIFT(838), - [1579] = {.entry = {.count = 1, .reusable = true}}, SHIFT(75), - [1581] = {.entry = {.count = 1, .reusable = true}}, SHIFT(502), - [1583] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_named_constraint, 2), - [1585] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_named_constraint, 2), - [1587] = {.entry = {.count = 1, .reusable = true}}, SHIFT(641), - [1589] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_column_default, 2), - [1591] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_column_default, 2), - [1593] = {.entry = {.count = 1, .reusable = true}}, SHIFT(798), - [1595] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_constrained_type, 2), - [1597] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_select_statement, 2), - [1599] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_function_parameter, 3, .production_id = 43), - [1601] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1151), - [1603] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1509), - [1605] = {.entry = {.count = 1, .reusable = true}}, SHIFT(609), - [1607] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1280), - [1609] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1324), - [1611] = {.entry = {.count = 1, .reusable = true}}, SHIFT(385), - [1613] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_select_statement, 3), - [1615] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_function_parameter, 4, .production_id = 49), - [1617] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_function_parameter, 5, .production_id = 56), - [1619] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_function_parameter, 4, .production_id = 50), - [1621] = {.entry = {.count = 1, .reusable = true}}, SHIFT(656), - [1623] = {.entry = {.count = 1, .reusable = true}}, SHIFT(49), - [1625] = {.entry = {.count = 1, .reusable = true}}, SHIFT(40), - [1627] = {.entry = {.count = 1, .reusable = true}}, SHIFT(491), - [1629] = {.entry = {.count = 1, .reusable = true}}, SHIFT(95), - [1631] = {.entry = {.count = 1, .reusable = true}}, SHIFT(560), - [1633] = {.entry = {.count = 1, .reusable = true}}, SHIFT(225), - [1635] = {.entry = {.count = 1, .reusable = true}}, SHIFT(790), - [1637] = {.entry = {.count = 1, .reusable = true}}, SHIFT(47), - [1639] = {.entry = {.count = 1, .reusable = true}}, SHIFT(803), - [1641] = {.entry = {.count = 1, .reusable = true}}, SHIFT(151), - [1643] = {.entry = {.count = 1, .reusable = true}}, SHIFT(561), - [1645] = {.entry = {.count = 1, .reusable = true}}, SHIFT(108), - [1647] = {.entry = {.count = 1, .reusable = true}}, SHIFT(847), - [1649] = {.entry = {.count = 1, .reusable = true}}, SHIFT(539), - [1651] = {.entry = {.count = 1, .reusable = true}}, SHIFT(551), - [1653] = {.entry = {.count = 1, .reusable = true}}, SHIFT(489), - [1655] = {.entry = {.count = 1, .reusable = true}}, SHIFT(185), - [1657] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_table_column_repeat1, 2), SHIFT_REPEAT(1151), - [1660] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_table_column_repeat1, 2), SHIFT_REPEAT(1509), - [1663] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_table_column_repeat1, 2), SHIFT_REPEAT(609), - [1666] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_table_column_repeat1, 2), SHIFT_REPEAT(1280), - [1669] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_table_column_repeat1, 2), SHIFT_REPEAT(1324), - [1672] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_table_column_repeat1, 2), SHIFT_REPEAT(385), - [1675] = {.entry = {.count = 1, .reusable = true}}, SHIFT(709), - [1677] = {.entry = {.count = 1, .reusable = true}}, SHIFT(676), - [1679] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1068), - [1681] = {.entry = {.count = 1, .reusable = true}}, SHIFT(515), - [1683] = {.entry = {.count = 1, .reusable = true}}, SHIFT(834), - [1685] = {.entry = {.count = 1, .reusable = true}}, SHIFT(774), - [1687] = {.entry = {.count = 1, .reusable = true}}, SHIFT(79), - [1689] = {.entry = {.count = 1, .reusable = true}}, SHIFT(556), - [1691] = {.entry = {.count = 1, .reusable = true}}, SHIFT(744), - [1693] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_parallel_hint, 1), - [1695] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__function_language, 2, .production_id = 51), - [1697] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_null_hint, 4, .production_id = 62), - [1699] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_null_hint, 5, .production_id = 68), - [1701] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_null_hint, 1), - [1703] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_body, 2), - [1705] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_optimizer_hint, 1), - [1707] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__aliased_expression, 3), - [1709] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_select_clause_body_repeat1, 2), - [1711] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_select_clause_body_repeat1, 2), SHIFT_REPEAT(246), - [1714] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_select_clause_body, 2), - [1716] = {.entry = {.count = 1, .reusable = true}}, SHIFT(246), - [1718] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__aliased_expression, 2), - [1720] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_select_clause_body, 1), - [1722] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_select_statement_repeat1, 2), - [1724] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_select_statement_repeat1, 2), SHIFT_REPEAT(1810), - [1727] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_select_statement_repeat1, 2), SHIFT_REPEAT(1519), - [1730] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_select_statement_repeat1, 2), SHIFT_REPEAT(1295), - [1733] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_from_clause, 3), - [1735] = {.entry = {.count = 1, .reusable = true}}, SHIFT(236), - [1737] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_from_clause, 2), - [1739] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_select_clause, 2), - [1741] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_select_clause_body_repeat1, 2), SHIFT_REPEAT(236), - [1744] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_role_statement, 3, .production_id = 5), - [1746] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_create_role_statement, 3, .production_id = 5), - [1748] = {.entry = {.count = 1, .reusable = false}}, SHIFT(972), - [1750] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_domain_statement, 5, .production_id = 7), - [1752] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1500), - [1754] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__table_constraint, 1), - [1756] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1717), - [1758] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1032), - [1760] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1503), - [1762] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_sequence, 2), - [1764] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1235), - [1766] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1761), - [1768] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1371), - [1770] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1540), - [1772] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1541), - [1774] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1759), - [1776] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_role_statement, 4, .production_id = 9), - [1778] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_create_role_statement, 4, .production_id = 9), - [1780] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_sequence, 5), - [1782] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1225), - [1784] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_create_domain_statement_repeat1, 2), - [1786] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_create_domain_statement_repeat1, 2), SHIFT_REPEAT(1500), - [1789] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_create_domain_statement_repeat1, 2), SHIFT_REPEAT(35), - [1792] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_create_domain_statement_repeat1, 2), SHIFT_REPEAT(363), - [1795] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_domain_statement, 6, .production_id = 7), - [1797] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__table_constraint, 3, .production_id = 10), - [1799] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_sequence, 4), - [1801] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1233), - [1803] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_sequence, 7), - [1805] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1330), - [1807] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_index_statement, 6, .production_id = 31), - [1809] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1544), - [1811] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1688), - [1813] = {.entry = {.count = 1, .reusable = true}}, SHIFT(393), - [1815] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_index_statement, 8, .production_id = 45), - [1817] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_sequence, 8), - [1819] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1279), - [1821] = {.entry = {.count = 1, .reusable = false}}, SHIFT(353), - [1823] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1468), - [1825] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1729), - [1827] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1726), - [1829] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1725), - [1831] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1353), - [1833] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1781), - [1835] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1782), - [1837] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_sequence_repeat1, 2), - [1839] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_sequence_repeat1, 2), SHIFT_REPEAT(1761), - [1842] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_sequence_repeat1, 2), SHIFT_REPEAT(1371), - [1845] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_sequence_repeat1, 2), SHIFT_REPEAT(1540), - [1848] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_sequence_repeat1, 2), SHIFT_REPEAT(1541), - [1851] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_sequence_repeat1, 2), SHIFT_REPEAT(1759), - [1854] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_index_statement, 7, .production_id = 31), - [1856] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(1330), - [1859] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_index_statement, 7, .production_id = 45), - [1861] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_sequence, 6), - [1863] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_sequence, 3), - [1865] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_sequence_repeat1, 3, .production_id = 25), - [1867] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_table_constraint_foreign_key, 7, .production_id = 61), - [1869] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_table_constraint_exclude, 7), - [1871] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_table_constraint_exclude, 6), - [1873] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_table_constraint_exclude, 4), - [1875] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_table_constraint_foreign_key, 6, .production_id = 61), - [1877] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_table_constraint_unique, 4), - [1879] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_table_constraint_primary_key, 6), - [1881] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_sequence_repeat1, 3, .production_id = 26), - [1883] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_table_constraint_exclude, 5), - [1885] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_table_constraint_primary_key, 5), - [1887] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_sequence_repeat1, 3, .production_id = 24), - [1889] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_table_constraint_unique, 5), - [1891] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_select_statement, 4), - [1893] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_index_statement, 9, .production_id = 45), - [1895] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__table_constraint, 4, .production_id = 10), - [1897] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__table_constraint, 2), - [1899] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_index_statement, 8, .production_id = 31), - [1901] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_set_clause_body, 1), - [1903] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1299), - [1905] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_group_by_clause_body_repeat1, 2), SHIFT_REPEAT(372), - [1908] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_index_table_parameters, 4), - [1910] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_index_table_parameters, 5), - [1912] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1184), - [1914] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_index_table_parameters, 3), - [1916] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_set_clause_body_repeat1, 2), - [1918] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_set_clause_body_repeat1, 2), SHIFT_REPEAT(1299), - [1921] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_mode, 1, .production_id = 22), - [1923] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_group_by_clause_body, 2), - [1925] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_set_clause_body, 2), - [1927] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1703), - [1929] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1704), - [1931] = {.entry = {.count = 1, .reusable = true}}, SHIFT(346), - [1933] = {.entry = {.count = 1, .reusable = true}}, SHIFT(245), - [1935] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1259), - [1937] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_mode, 2, .production_id = 41), - [1939] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_index_statement, 10, .production_id = 45), - [1941] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_begin_statement, 1), - [1943] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1115), - [1945] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_commit_statement, 1), - [1947] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1126), - [1949] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_initial_mode, 2), - [1951] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_group_by_clause, 3, .production_id = 15), - [1953] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_index_include_clause, 4), - [1955] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_group_by_clause_body_repeat1, 2), SHIFT_REPEAT(405), - [1958] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__table_constraint, 5, .production_id = 10), - [1960] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_select_statement, 5), - [1962] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_rollback_statement, 1), - [1964] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1130), - [1966] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1170), - [1968] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1162), - [1970] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1790), - [1972] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1791), - [1974] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_index_statement, 9, .production_id = 31), - [1976] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_order_by_clause_body, 2), - [1978] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__table_constraint, 3), - [1980] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_index_include_clause, 5), - [1982] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_update_statement, 3), - [1984] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_domain_statement, 3, .production_id = 7), - [1986] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1196), - [1988] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_index_with_clause, 6, .production_id = 73), - [1990] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_grant_statement, 6), - [1992] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1680), - [1994] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_grant_statement, 6, .production_id = 12), - [1996] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1683), - [1998] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_grant_statement, 7), - [2000] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1667), - [2002] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_grant_statement, 9, .production_id = 54), - [2004] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1646), - [2006] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_grant_statement, 7, .production_id = 46), - [2008] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1668), - [2010] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_grant_statement, 7, .production_id = 12), - [2012] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1635), - [2014] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_set_clause, 2), - [2016] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_order_by_clause, 3, .production_id = 16), - [2018] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1493), - [2020] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1428), - [2022] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1222), - [2024] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1238), - [2026] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1837), - [2028] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1256), - [2030] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1213), - [2032] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1262), - [2034] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1234), - [2036] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1290), - [2038] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1294), - [2040] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1298), - [2042] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_grant_statement, 8, .production_id = 46), - [2044] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1655), - [2046] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_grant_statement, 8, .production_id = 54), - [2048] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1650), - [2050] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_grant_statement, 8), - [2052] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1649), - [2054] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_select_statement, 6), - [2056] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_grant_statement, 5), - [2058] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1714), - [2060] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_pg_command, 2), - [2062] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_grant_statement, 10, .production_id = 64), - [2064] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_alter_table, 5, .production_id = 32), - [2066] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_alter_table, 6, .production_id = 32), - [2068] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_schema_statement, 6, .production_id = 30), - [2070] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_grant_statement, 9, .production_id = 59), - [2072] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_alter_table_action_add, 3, .production_id = 33), - [2074] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_extension_statement, 6, .production_id = 29), - [2076] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_drop_statement, 3), - [2078] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_type_statement, 5, .production_id = 17), - [2080] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_alter_table_action_alter_column, 6, .production_id = 57), - [2082] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_table_statement, 4), - [2084] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__statement, 1), - [2086] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1154), - [2088] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_values_clause, 4), - [2090] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_parameters, 3), - [2092] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_table_statement, 8, .production_id = 48), - [2094] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_alter_table, 3), - [2096] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_alter_table_action, 1), - [2098] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_alter_statement, 2, .production_id = 1), - [2100] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_schema_statement, 3, .production_id = 6), - [2102] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_alter_statement, 2), - [2104] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_begin_statement, 2), - [2106] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_alter_table, 4), - [2108] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_table_parameters, 3), - [2110] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_role_statement, 5, .production_id = 9), - [2112] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_grant_statement, 11, .production_id = 69), - [2114] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1168), - [2116] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_table_statement, 5), - [2118] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_role_statement, 4, .production_id = 5), - [2120] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_table_parameters, 3, .production_id = 18), - [2122] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_index_statement, 11, .production_id = 45), - [2124] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_update_statement, 4), - [2126] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_commit_statement, 2), - [2128] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_statement, 2), - [2130] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_alter_table_action_add, 2, .production_id = 18), - [2132] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_grant_statement, 11, .production_id = 70), - [2134] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_rollback_statement, 2), - [2136] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_table_parameters, 4, .production_id = 40), - [2138] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_insert_statement, 4), - [2140] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_parameters, 4), - [2142] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_index_statement, 10, .production_id = 31), - [2144] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_grant_statement, 11, .production_id = 71), - [2146] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_table_statement, 7, .production_id = 37), - [2148] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_extension_statement, 3, .production_id = 4), - [2150] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_grant_statement, 10, .production_id = 65), - [2152] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_grant_statement, 12, .production_id = 74), - [2154] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_grant_statement, 9, .production_id = 58), - [2156] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_table_parameters, 4, .production_id = 38), - [2158] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_grant_statement, 10, .production_id = 63), - [2160] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_drop_statement, 5, .production_id = 20), - [2162] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_statement, 3), - [2164] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_grant_statement, 8, .production_id = 53), - [2166] = {.entry = {.count = 1, .reusable = true}}, SHIFT(296), - [2168] = {.entry = {.count = 1, .reusable = true}}, SHIFT(216), - [2170] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1226), - [2172] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1253), - [2174] = {.entry = {.count = 1, .reusable = true}}, SHIFT(370), - [2176] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1468), - [2178] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1729), - [2180] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1726), - [2182] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1725), - [2184] = {.entry = {.count = 1, .reusable = true}}, SHIFT(309), - [2186] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3), - [2188] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__statement, 2), - [2190] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_select_statement_repeat1, 2), SHIFT_REPEAT(1259), - [2193] = {.entry = {.count = 1, .reusable = true}}, SHIFT(243), - [2195] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_select_clause_body_repeat1, 2), SHIFT_REPEAT(243), - [2198] = {.entry = {.count = 1, .reusable = true}}, SHIFT(10), - [2200] = {.entry = {.count = 1, .reusable = true}}, SHIFT(239), - [2202] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1286), - [2204] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1355), - [2206] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_exclude_entry, 1), - [2208] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1257), - [2210] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1249), - [2212] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1162), - [2214] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1165), - [2216] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1539), - [2218] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1175), - [2220] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1206), - [2222] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_select_clause_body_repeat1, 2), SHIFT_REPEAT(239), - [2225] = {.entry = {.count = 1, .reusable = true}}, SHIFT(16), - [2227] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1163), - [2229] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1190), - [2231] = {.entry = {.count = 1, .reusable = true}}, SHIFT(14), - [2233] = {.entry = {.count = 1, .reusable = true}}, SHIFT(607), - [2235] = {.entry = {.count = 1, .reusable = true}}, SHIFT(663), - [2237] = {.entry = {.count = 1, .reusable = true}}, SHIFT(23), - [2239] = {.entry = {.count = 1, .reusable = true}}, SHIFT(675), - [2241] = {.entry = {.count = 1, .reusable = true}}, SHIFT(683), - [2243] = {.entry = {.count = 1, .reusable = true}}, SHIFT(353), - [2245] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_grant_statement_repeat1, 2), - [2247] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_grant_statement_repeat1, 2), SHIFT_REPEAT(1190), - [2250] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_grant_statement_repeat1, 2), SHIFT_REPEAT(1206), - [2253] = {.entry = {.count = 1, .reusable = true}}, SHIFT(208), - [2255] = {.entry = {.count = 1, .reusable = true}}, SHIFT(138), - [2257] = {.entry = {.count = 1, .reusable = true}}, SHIFT(244), - [2259] = {.entry = {.count = 1, .reusable = true}}, SHIFT(253), - [2261] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1237), - [2263] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1626), - [2265] = {.entry = {.count = 1, .reusable = true}}, SHIFT(610), - [2267] = {.entry = {.count = 1, .reusable = true}}, SHIFT(611), - [2269] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1243), - [2271] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1611), - [2273] = {.entry = {.count = 1, .reusable = true}}, SHIFT(462), - [2275] = {.entry = {.count = 1, .reusable = true}}, SHIFT(463), - [2277] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1240), - [2279] = {.entry = {.count = 1, .reusable = true}}, SHIFT(647), - [2281] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1560), - [2283] = {.entry = {.count = 1, .reusable = true}}, SHIFT(648), - [2285] = {.entry = {.count = 1, .reusable = true}}, SHIFT(650), - [2287] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1236), - [2289] = {.entry = {.count = 1, .reusable = true}}, SHIFT(752), - [2291] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1652), - [2293] = {.entry = {.count = 1, .reusable = true}}, SHIFT(753), - [2295] = {.entry = {.count = 1, .reusable = true}}, SHIFT(754), - [2297] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1227), - [2299] = {.entry = {.count = 1, .reusable = true}}, SHIFT(54), - [2301] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1741), - [2303] = {.entry = {.count = 1, .reusable = true}}, SHIFT(136), - [2305] = {.entry = {.count = 1, .reusable = true}}, SHIFT(134), - [2307] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1218), - [2309] = {.entry = {.count = 1, .reusable = true}}, SHIFT(868), - [2311] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1554), - [2313] = {.entry = {.count = 1, .reusable = true}}, SHIFT(867), - [2315] = {.entry = {.count = 1, .reusable = true}}, SHIFT(866), - [2317] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1245), - [2319] = {.entry = {.count = 1, .reusable = true}}, SHIFT(817), - [2321] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1606), - [2323] = {.entry = {.count = 1, .reusable = true}}, SHIFT(816), - [2325] = {.entry = {.count = 1, .reusable = true}}, SHIFT(815), - [2327] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1217), - [2329] = {.entry = {.count = 1, .reusable = true}}, SHIFT(529), - [2331] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1629), - [2333] = {.entry = {.count = 1, .reusable = true}}, SHIFT(500), - [2335] = {.entry = {.count = 1, .reusable = true}}, SHIFT(498), - [2337] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1216), - [2339] = {.entry = {.count = 1, .reusable = true}}, SHIFT(727), - [2341] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1675), - [2343] = {.entry = {.count = 1, .reusable = true}}, SHIFT(728), - [2345] = {.entry = {.count = 1, .reusable = true}}, SHIFT(729), - [2347] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_grant_statement_repeat1, 1, .production_id = 2), - [2349] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1221), - [2351] = {.entry = {.count = 1, .reusable = true}}, SHIFT(211), - [2353] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1583), - [2355] = {.entry = {.count = 1, .reusable = true}}, SHIFT(222), - [2357] = {.entry = {.count = 1, .reusable = true}}, SHIFT(218), - [2359] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1241), - [2361] = {.entry = {.count = 1, .reusable = true}}, SHIFT(168), - [2363] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1599), - [2365] = {.entry = {.count = 1, .reusable = true}}, SHIFT(170), - [2367] = {.entry = {.count = 1, .reusable = true}}, SHIFT(169), - [2369] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1795), - [2371] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1273), - [2373] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1230), - [2375] = {.entry = {.count = 1, .reusable = true}}, SHIFT(622), - [2377] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1566), - [2379] = {.entry = {.count = 1, .reusable = true}}, SHIFT(617), - [2381] = {.entry = {.count = 1, .reusable = true}}, SHIFT(616), - [2383] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1242), - [2385] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1581), - [2387] = {.entry = {.count = 1, .reusable = true}}, SHIFT(81), - [2389] = {.entry = {.count = 1, .reusable = true}}, SHIFT(80), - [2391] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1321), - [2393] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1804), - [2395] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1534), - [2397] = {.entry = {.count = 1, .reusable = true}}, SHIFT(436), - [2399] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_function_parameter, 2), - [2401] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1297), - [2403] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1831), - [2405] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1832), - [2407] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1277), - [2409] = {.entry = {.count = 1, .reusable = true}}, SHIFT(349), - [2411] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_function_parameter, 1), - [2413] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1806), - [2415] = {.entry = {.count = 1, .reusable = true}}, SHIFT(429), - [2417] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_function_parameter, 2, .production_id = 27), - [2419] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1353), - [2421] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1788), - [2423] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(1321), - [2426] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1801), - [2428] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1496), - [2430] = {.entry = {.count = 1, .reusable = true}}, SHIFT(419), - [2432] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_function_parameter, 3, .production_id = 27), - [2434] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1768), - [2436] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1341), - [2438] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1071), - [2440] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1307), - [2442] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1088), - [2444] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1325), - [2446] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1069), - [2448] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1747), - [2450] = {.entry = {.count = 1, .reusable = true}}, SHIFT(401), - [2452] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1152), - [2454] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1335), - [2456] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1084), - [2458] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1011), - [2460] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1727), - [2462] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1728), - [2464] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1342), - [2466] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1347), - [2468] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1070), - [2470] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1327), - [2472] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1073), - [2474] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_parameter, 2), - [2476] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(1316), - [2479] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_ordered_expression, 2, .production_id = 52), - [2481] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1231), - [2483] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1231), - [2485] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1738), - [2487] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1316), - [2489] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1336), - [2491] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(1336), - [2494] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1504), - [2496] = {.entry = {.count = 1, .reusable = true}}, SHIFT(252), - [2498] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1310), - [2500] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_group_by_clause_body_repeat1, 2), SHIFT_REPEAT(411), - [2503] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1209), - [2505] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1641), - [2507] = {.entry = {.count = 1, .reusable = true}}, SHIFT(777), - [2509] = {.entry = {.count = 1, .reusable = true}}, SHIFT(776), - [2511] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1350), - [2513] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_exclude_entry, 2), - [2515] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1301), - [2517] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1108), - [2519] = {.entry = {.count = 1, .reusable = true}}, SHIFT(698), - [2521] = {.entry = {.count = 1, .reusable = true}}, SHIFT(687), - [2523] = {.entry = {.count = 1, .reusable = true}}, SHIFT(843), - [2525] = {.entry = {.count = 1, .reusable = true}}, SHIFT(801), - [2527] = {.entry = {.count = 1, .reusable = true}}, SHIFT(552), - [2529] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1542), - [2531] = {.entry = {.count = 1, .reusable = true}}, SHIFT(999), - [2533] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_values_clause_body, 2), - [2535] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1224), - [2537] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1010), - [2539] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_op_class, 1), - [2541] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1329), - [2543] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1494), - [2545] = {.entry = {.count = 1, .reusable = true}}, SHIFT(459), - [2547] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1274), - [2549] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1015), - [2551] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1013), - [2553] = {.entry = {.count = 1, .reusable = true}}, SHIFT(724), - [2555] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1048), - [2557] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1716), - [2559] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_group_by_clause_body_repeat1, 2), SHIFT_REPEAT(301), - [2562] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1700), - [2564] = {.entry = {.count = 1, .reusable = true}}, SHIFT(582), - [2566] = {.entry = {.count = 1, .reusable = true}}, SHIFT(608), - [2568] = {.entry = {.count = 1, .reusable = true}}, SHIFT(511), - [2570] = {.entry = {.count = 1, .reusable = true}}, SHIFT(84), - [2572] = {.entry = {.count = 1, .reusable = true}}, SHIFT(623), - [2574] = {.entry = {.count = 1, .reusable = true}}, SHIFT(541), - [2576] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_parameters_repeat1, 2), SHIFT_REPEAT(1301), - [2579] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_parameters_repeat1, 2), - [2581] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1027), - [2583] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1055), - [2585] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1004), - [2587] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1006), - [2589] = {.entry = {.count = 1, .reusable = true}}, SHIFT(580), - [2591] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1134), - [2593] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_create_function_parameters_repeat1, 2), SHIFT_REPEAT(1048), - [2596] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_create_function_parameters_repeat1, 2), - [2598] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1028), - [2600] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_table_constraint_exclude_repeat1, 2), SHIFT_REPEAT(1224), - [2603] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_table_constraint_exclude_repeat1, 2), - [2605] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1003), - [2607] = {.entry = {.count = 1, .reusable = true}}, SHIFT(104), - [2609] = {.entry = {.count = 1, .reusable = true}}, SHIFT(991), - [2611] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1131), - [2613] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1244), - [2615] = {.entry = {.count = 1, .reusable = true}}, SHIFT(840), - [2617] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1484), - [2619] = {.entry = {.count = 1, .reusable = true}}, SHIFT(70), - [2621] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1145), - [2623] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_table_parameters_repeat1, 2, .production_id = 39), SHIFT_REPEAT(991), - [2626] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_table_parameters_repeat1, 2, .production_id = 39), - [2628] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_table_constraint_unique_repeat1, 2), SHIFT_REPEAT(1274), - [2631] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_table_constraint_unique_repeat1, 2), - [2633] = {.entry = {.count = 1, .reusable = true}}, SHIFT(732), - [2635] = {.entry = {.count = 1, .reusable = true}}, SHIFT(796), - [2637] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1008), - [2639] = {.entry = {.count = 1, .reusable = true}}, SHIFT(41), - [2641] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_create_index_include_clause_repeat1, 2), SHIFT_REPEAT(1329), - [2644] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_create_index_include_clause_repeat1, 2), - [2646] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1002), - [2648] = {.entry = {.count = 1, .reusable = true}}, SHIFT(493), - [2650] = {.entry = {.count = 1, .reusable = true}}, SHIFT(179), - [2652] = {.entry = {.count = 1, .reusable = true}}, SHIFT(231), - [2654] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1123), - [2656] = {.entry = {.count = 1, .reusable = true}}, SHIFT(61), - [2658] = {.entry = {.count = 1, .reusable = true}}, SHIFT(140), - [2660] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1117), - [2662] = {.entry = {.count = 1, .reusable = true}}, SHIFT(87), - [2664] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_index_table_parameters_repeat1, 2), SHIFT_REPEAT(276), - [2667] = {.entry = {.count = 1, .reusable = true}}, SHIFT(765), - [2669] = {.entry = {.count = 1, .reusable = true}}, SHIFT(736), - [2671] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1043), - [2673] = {.entry = {.count = 1, .reusable = true}}, SHIFT(399), - [2675] = {.entry = {.count = 1, .reusable = true}}, SHIFT(58), - [2677] = {.entry = {.count = 1, .reusable = true}}, SHIFT(326), - [2679] = {.entry = {.count = 1, .reusable = true}}, SHIFT(985), - [2681] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1229), - [2683] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1266), - [2685] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1056), - [2687] = {.entry = {.count = 1, .reusable = true}}, SHIFT(263), - [2689] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_exclude_entry, 4, .production_id = 60), - [2691] = {.entry = {.count = 1, .reusable = true}}, SHIFT(282), - [2693] = {.entry = {.count = 1, .reusable = true}}, SHIFT(270), - [2695] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1361), - [2697] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1359), - [2699] = {.entry = {.count = 1, .reusable = true}}, SHIFT(333), - [2701] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1288), - [2703] = {.entry = {.count = 1, .reusable = true}}, SHIFT(423), - [2705] = {.entry = {.count = 1, .reusable = true}}, SHIFT(365), - [2707] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1258), - [2709] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1762), - [2711] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_index_table_parameters_repeat1, 3), - [2713] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_exclude_entry, 3, .production_id = 24), - [2715] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1041), - [2717] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_binary_operator, 1), - [2719] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_table_parameters_repeat1, 2), - [2721] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_table_parameters_repeat1, 2, .production_id = 18), - [2723] = {.entry = {.count = 1, .reusable = true}}, SHIFT(427), - [2725] = {.entry = {.count = 1, .reusable = true}}, SHIFT(384), - [2727] = {.entry = {.count = 1, .reusable = true}}, SHIFT(340), - [2729] = {.entry = {.count = 1, .reusable = true}}, SHIFT(279), - [2731] = {.entry = {.count = 1, .reusable = true}}, SHIFT(374), - [2733] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1771), - [2735] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_join_type, 1), - [2737] = {.entry = {.count = 1, .reusable = true}}, SHIFT(380), - [2739] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1291), - [2741] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1300), - [2743] = {.entry = {.count = 1, .reusable = true}}, SHIFT(440), - [2745] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1783), - [2747] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1007), - [2749] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1317), - [2751] = {.entry = {.count = 1, .reusable = true}}, SHIFT(562), - [2753] = {.entry = {.count = 1, .reusable = true}}, SHIFT(240), - [2755] = {.entry = {.count = 1, .reusable = true}}, SHIFT(655), - [2757] = {.entry = {.count = 1, .reusable = true}}, SHIFT(72), - [2759] = {.entry = {.count = 1, .reusable = true}}, SHIFT(564), - [2761] = {.entry = {.count = 1, .reusable = true}}, SHIFT(518), - [2763] = {.entry = {.count = 1, .reusable = true}}, SHIFT(555), - [2765] = {.entry = {.count = 1, .reusable = true}}, SHIFT(352), - [2767] = {.entry = {.count = 1, .reusable = true}}, SHIFT(789), - [2769] = {.entry = {.count = 1, .reusable = true}}, SHIFT(859), - [2771] = {.entry = {.count = 1, .reusable = true}}, SHIFT(18), - [2773] = {.entry = {.count = 1, .reusable = true}}, SHIFT(367), - [2775] = {.entry = {.count = 1, .reusable = true}}, SHIFT(795), - [2777] = {.entry = {.count = 1, .reusable = true}}, SHIFT(786), - [2779] = {.entry = {.count = 1, .reusable = true}}, SHIFT(64), - [2781] = {.entry = {.count = 1, .reusable = true}}, SHIFT(802), - [2783] = {.entry = {.count = 1, .reusable = true}}, SHIFT(65), - [2785] = {.entry = {.count = 1, .reusable = true}}, SHIFT(334), - [2787] = {.entry = {.count = 1, .reusable = true}}, SHIFT(512), - [2789] = {.entry = {.count = 1, .reusable = true}}, SHIFT(184), - [2791] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1358), - [2793] = {.entry = {.count = 1, .reusable = true}}, SHIFT(194), - [2795] = {.entry = {.count = 1, .reusable = true}}, SHIFT(186), - [2797] = {.entry = {.count = 1, .reusable = true}}, SHIFT(598), - [2799] = {.entry = {.count = 1, .reusable = true}}, SHIFT(19), - [2801] = {.entry = {.count = 1, .reusable = true}}, SHIFT(499), - [2803] = {.entry = {.count = 1, .reusable = true}}, SHIFT(103), - [2805] = {.entry = {.count = 1, .reusable = true}}, SHIFT(4), - [2807] = {.entry = {.count = 1, .reusable = true}}, SHIFT(284), - [2809] = {.entry = {.count = 1, .reusable = true}}, SHIFT(431), - [2811] = {.entry = {.count = 1, .reusable = true}}, SHIFT(575), - [2813] = {.entry = {.count = 1, .reusable = true}}, SHIFT(453), - [2815] = {.entry = {.count = 1, .reusable = true}}, SHIFT(100), - [2817] = {.entry = {.count = 1, .reusable = true}}, SHIFT(606), - [2819] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5), - [2821] = {.entry = {.count = 1, .reusable = true}}, SHIFT(63), - [2823] = {.entry = {.count = 1, .reusable = true}}, SHIFT(791), - [2825] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1169), - [2827] = {.entry = {.count = 1, .reusable = true}}, SHIFT(823), - [2829] = {.entry = {.count = 1, .reusable = true}}, SHIFT(34), - [2831] = {.entry = {.count = 1, .reusable = true}}, SHIFT(797), - [2833] = {.entry = {.count = 1, .reusable = true}}, SHIFT(133), - [2835] = {.entry = {.count = 1, .reusable = true}}, SHIFT(347), - [2837] = {.entry = {.count = 1, .reusable = true}}, SHIFT(77), - [2839] = {.entry = {.count = 1, .reusable = true}}, SHIFT(162), - [2841] = {.entry = {.count = 1, .reusable = true}}, SHIFT(197), - [2843] = {.entry = {.count = 1, .reusable = true}}, SHIFT(109), - [2845] = {.entry = {.count = 1, .reusable = true}}, SHIFT(387), - [2847] = {.entry = {.count = 1, .reusable = true}}, SHIFT(806), - [2849] = {.entry = {.count = 1, .reusable = true}}, SHIFT(526), - [2851] = {.entry = {.count = 1, .reusable = true}}, SHIFT(523), - [2853] = {.entry = {.count = 1, .reusable = true}}, SHIFT(182), - [2855] = {.entry = {.count = 1, .reusable = true}}, SHIFT(407), - [2857] = {.entry = {.count = 1, .reusable = true}}, SHIFT(46), - [2859] = {.entry = {.count = 1, .reusable = true}}, SHIFT(488), - [2861] = {.entry = {.count = 1, .reusable = true}}, SHIFT(686), - [2863] = {.entry = {.count = 1, .reusable = true}}, SHIFT(503), - [2865] = {.entry = {.count = 1, .reusable = true}}, SHIFT(473), - [2867] = {.entry = {.count = 1, .reusable = true}}, SHIFT(492), - [2869] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1012), - [2871] = {.entry = {.count = 1, .reusable = true}}, SHIFT(546), - [2873] = {.entry = {.count = 1, .reusable = true}}, SHIFT(680), - [2875] = {.entry = {.count = 1, .reusable = true}}, SHIFT(36), - [2877] = {.entry = {.count = 1, .reusable = true}}, SHIFT(430), - [2879] = {.entry = {.count = 1, .reusable = true}}, SHIFT(550), - [2881] = {.entry = {.count = 1, .reusable = true}}, SHIFT(283), - [2883] = {.entry = {.count = 1, .reusable = true}}, SHIFT(487), - [2885] = {.entry = {.count = 1, .reusable = true}}, SHIFT(567), - [2887] = {.entry = {.count = 1, .reusable = true}}, SHIFT(15), - [2889] = {.entry = {.count = 1, .reusable = true}}, SHIFT(794), - [2891] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1657), - [2893] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1142), - [2895] = {.entry = {.count = 1, .reusable = true}}, SHIFT(745), - [2897] = {.entry = {.count = 1, .reusable = true}}, SHIFT(268), - [2899] = {.entry = {.count = 1, .reusable = true}}, SHIFT(760), - [2901] = {.entry = {.count = 1, .reusable = true}}, SHIFT(781), - [2903] = {.entry = {.count = 1, .reusable = true}}, SHIFT(743), - [2905] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1138), - [2907] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1129), - [2909] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1636), - [2911] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1119), - [2913] = {.entry = {.count = 1, .reusable = true}}, SHIFT(951), - [2915] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1644), - [2917] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1645), - [2919] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1141), - [2921] = {.entry = {.count = 1, .reusable = true}}, SHIFT(300), - [2923] = {.entry = {.count = 1, .reusable = true}}, SHIFT(734), - [2925] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1091), - [2927] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1647), - [2929] = {.entry = {.count = 1, .reusable = true}}, SHIFT(466), - [2931] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1146), - [2933] = {.entry = {.count = 1, .reusable = true}}, SHIFT(449), - [2935] = {.entry = {.count = 1, .reusable = true}}, SHIFT(950), - [2937] = {.entry = {.count = 1, .reusable = true}}, SHIFT(689), - [2939] = {.entry = {.count = 1, .reusable = true}}, SHIFT(93), - [2941] = {.entry = {.count = 1, .reusable = true}}, SHIFT(702), - [2943] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1648), - [2945] = {.entry = {.count = 1, .reusable = true}}, SHIFT(674), - [2947] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1651), - [2949] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1654), - [2951] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1096), - [2953] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1143), - [2955] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1106), - [2957] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1659), - [2959] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1664), - [2961] = {.entry = {.count = 1, .reusable = true}}, SHIFT(889), - [2963] = {.entry = {.count = 1, .reusable = true}}, SHIFT(328), - [2965] = {.entry = {.count = 1, .reusable = true}}, SHIFT(685), - [2967] = {.entry = {.count = 1, .reusable = true}}, SHIFT(892), - [2969] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1150), - [2971] = {.entry = {.count = 1, .reusable = true}}, SHIFT(746), - [2973] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1669), - [2975] = {.entry = {.count = 1, .reusable = true}}, SHIFT(682), - [2977] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1670), - [2979] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1159), - [2981] = {.entry = {.count = 1, .reusable = true}}, SHIFT(684), - [2983] = {.entry = {.count = 1, .reusable = true}}, SHIFT(210), - [2985] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1320), - [2987] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_using_clause, 2, .production_id = 44), - [2989] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1283), - [2991] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1672), - [2993] = {.entry = {.count = 1, .reusable = true}}, SHIFT(658), - [2995] = {.entry = {.count = 1, .reusable = true}}, SHIFT(615), - [2997] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1673), - [2999] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1634), - [3001] = {.entry = {.count = 1, .reusable = false}}, SHIFT_EXTRA(), - [3003] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1632), - [3005] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_function_parameters, 4), - [3007] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1628), - [3009] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1627), - [3011] = {.entry = {.count = 1, .reusable = true}}, SHIFT(251), - [3013] = {.entry = {.count = 1, .reusable = true}}, SHIFT(255), - [3015] = {.entry = {.count = 1, .reusable = true}}, SHIFT(896), - [3017] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1674), - [3019] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1677), - [3021] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1215), - [3023] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1518), - [3025] = {.entry = {.count = 1, .reusable = true}}, SHIFT(69), - [3027] = {.entry = {.count = 1, .reusable = true}}, SHIFT(369), - [3029] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1132), - [3031] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1261), - [3033] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1678), - [3035] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1684), - [3037] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_function_parameters, 3), - [3039] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1036), - [3041] = {.entry = {.count = 1, .reusable = true}}, SHIFT(382), - [3043] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1276), - [3045] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1328), - [3047] = {.entry = {.count = 1, .reusable = true}}, SHIFT(62), - [3049] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1246), - [3051] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1268), - [3053] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1520), - [3055] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1719), - [3057] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1252), - [3059] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1622), - [3061] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1621), - [3063] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1720), - [3065] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1613), - [3067] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1612), - [3069] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1292), - [3071] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1488), - [3073] = {.entry = {.count = 1, .reusable = true}}, SHIFT(318), - [3075] = {.entry = {.count = 1, .reusable = true}}, SHIFT(312), - [3077] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1604), - [3079] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1603), - [3081] = {.entry = {.count = 1, .reusable = true}}, SHIFT(269), - [3083] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1601), - [3085] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1600), - [3087] = {.entry = {.count = 1, .reusable = true}}, SHIFT(308), - [3089] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1465), - [3091] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1250), - [3093] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1264), - [3095] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1589), - [3097] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1588), - [3099] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1247), - [3101] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1549), - [3103] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1582), - [3105] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1275), - [3107] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1490), - [3109] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1287), - [3111] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1285), - [3113] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1577), - [3115] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1576), - [3117] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1120), - [3119] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1572), - [3121] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1567), - [3123] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1269), - [3125] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1513), - [3127] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1486), - [3129] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1296), - [3131] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1559), - [3133] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1558), - [3135] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1293), - [3137] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1556), - [3139] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1555), - [3141] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1732), - [3143] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1536), - [3145] = {.entry = {.count = 1, .reusable = true}}, SHIFT(358), - [3147] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_join_type, 2), - [3149] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1546), - [3151] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1547), - [3153] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1090), - [3155] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1550), - [3157] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1551), - [3159] = {.entry = {.count = 1, .reusable = true}}, SHIFT(50), - [3161] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1522), - [3163] = {.entry = {.count = 1, .reusable = true}}, SHIFT(139), - [3165] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1569), - [3167] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1570), - [3169] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1167), - [3171] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1573), - [3173] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1574), - [3175] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1248), - [3177] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1481), - [3179] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1251), - [3181] = {.entry = {.count = 1, .reusable = true}}, SHIFT(9), - [3183] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1592), - [3185] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1593), - [3187] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1596), - [3189] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1597), - [3191] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1219), - [3193] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1482), - [3195] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1282), - [3197] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1530), - [3199] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1615), - [3201] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1616), - [3203] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1752), - [3205] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1619), - [3207] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1620), - [3209] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1753), - [3211] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1532), - [3213] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1765), - [3215] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1265), - [3217] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1638), - [3219] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1639), - [3221] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1642), - [3223] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1643), - [3225] = {.entry = {.count = 1, .reusable = true}}, SHIFT(254), - [3227] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1464), - [3229] = {.entry = {.count = 1, .reusable = true}}, SHIFT(256), - [3231] = {.entry = {.count = 1, .reusable = true}}, SHIFT(60), - [3233] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1661), - [3235] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1662), - [3237] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1777), - [3239] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1665), - [3241] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1666), - [3243] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1779), - [3245] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1529), - [3247] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1789), - [3249] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1792), - [3251] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1681), - [3253] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1682), - [3255] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1284), - [3257] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1686), - [3259] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1687), - [3261] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1690), - [3263] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1691), - [3265] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1693), - [3267] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1694), - [3269] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1695), - [3271] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1696), - [3273] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1255), - [3275] = {.entry = {.count = 1, .reusable = true}}, ACCEPT_INPUT(), - [3277] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1289), - [3279] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1281), + [7] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1780), + [9] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1783), + [11] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1786), + [13] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2033), + [15] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3310), + [17] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1909), + [19] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2220), + [21] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2035), + [23] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3283), + [25] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2114), + [27] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1938), + [29] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2), + [31] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2180), + [33] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2408), + [35] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_select_clause, 1), + [37] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_select_clause, 1), + [39] = {.entry = {.count = 1, .reusable = false}}, SHIFT(935), + [41] = {.entry = {.count = 1, .reusable = true}}, SHIFT(380), + [43] = {.entry = {.count = 1, .reusable = false}}, SHIFT(91), + [45] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2976), + [47] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2450), + [49] = {.entry = {.count = 1, .reusable = false}}, SHIFT(211), + [51] = {.entry = {.count = 1, .reusable = false}}, SHIFT(210), + [53] = {.entry = {.count = 1, .reusable = false}}, SHIFT(72), + [55] = {.entry = {.count = 1, .reusable = false}}, SHIFT(33), + [57] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2887), + [59] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2888), + [61] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2954), + [63] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2950), + [65] = {.entry = {.count = 1, .reusable = true}}, SHIFT(931), + [67] = {.entry = {.count = 1, .reusable = false}}, SHIFT(931), + [69] = {.entry = {.count = 1, .reusable = true}}, SHIFT(209), + [71] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2335), + [73] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2924), + [75] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_array_type, 2), + [77] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_array_type, 2), + [79] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2330), + [81] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_array_type_repeat1, 2), + [83] = {.entry = {.count = 1, .reusable = false}}, REDUCE(aux_sym_array_type_repeat1, 2), + [85] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_array_type_repeat1, 2), SHIFT_REPEAT(2330), + [88] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_array_type_repeat1, 3), + [90] = {.entry = {.count = 1, .reusable = false}}, REDUCE(aux_sym_array_type_repeat1, 3), + [92] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_dotted_name_repeat1, 2), + [94] = {.entry = {.count = 1, .reusable = false}}, REDUCE(aux_sym_dotted_name_repeat1, 2), + [96] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(2168), + [99] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_type, 1), + [101] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3015), + [103] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2434), + [105] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_type, 1), + [107] = {.entry = {.count = 1, .reusable = true}}, SHIFT(21), + [109] = {.entry = {.count = 1, .reusable = true}}, SHIFT(28), + [111] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3017), + [113] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_dotted_name, 2), + [115] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_dotted_name, 2), + [117] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2168), + [119] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__identifier, 1), + [121] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym__identifier, 1), + [123] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2161), + [125] = {.entry = {.count = 1, .reusable = true}}, SHIFT(657), + [127] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2126), + [129] = {.entry = {.count = 1, .reusable = true}}, SHIFT(894), + [131] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_identifier, 1), + [133] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_identifier, 1), + [135] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(2161), + [138] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2153), + [140] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(2153), + [143] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_type, 2), + [145] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3018), + [147] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2433), + [149] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_type, 2), + [151] = {.entry = {.count = 1, .reusable = true}}, SHIFT(27), + [153] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3020), + [155] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__quoted_identifier, 3, .production_id = 9), + [157] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym__quoted_identifier, 3, .production_id = 9), + [159] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_type, 3), + [161] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3021), + [163] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2432), + [165] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_type, 3), + [167] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3023), + [169] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2898), + [171] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2462), + [173] = {.entry = {.count = 1, .reusable = false}}, SHIFT(46), + [175] = {.entry = {.count = 1, .reusable = false}}, SHIFT(50), + [177] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2904), + [179] = {.entry = {.count = 1, .reusable = true}}, SHIFT(654), + [181] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2178), + [183] = {.entry = {.count = 1, .reusable = true}}, SHIFT(945), + [185] = {.entry = {.count = 1, .reusable = true}}, SHIFT(666), + [187] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2118), + [189] = {.entry = {.count = 1, .reusable = true}}, SHIFT(939), + [191] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_type, 5), + [193] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3026), + [195] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_type, 5), + [197] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3027), + [199] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3096), + [201] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2418), + [203] = {.entry = {.count = 1, .reusable = true}}, SHIFT(65), + [205] = {.entry = {.count = 1, .reusable = true}}, SHIFT(73), + [207] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3098), + [209] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_type, 6), + [211] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3028), + [213] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_type, 6), + [215] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3029), + [217] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3042), + [219] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2430), + [221] = {.entry = {.count = 1, .reusable = false}}, SHIFT(56), + [223] = {.entry = {.count = 1, .reusable = false}}, SHIFT(82), + [225] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3044), + [227] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(2126), + [230] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2938), + [232] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2454), + [234] = {.entry = {.count = 1, .reusable = false}}, SHIFT(58), + [236] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2940), + [238] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_type, 4), + [240] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3024), + [242] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_type, 4), + [244] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3025), + [246] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_type, 6, .production_id = 60), + [248] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_type, 6, .production_id = 60), + [250] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_type, 8, .production_id = 73), + [252] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_type, 8, .production_id = 73), + [254] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_type, 7), + [256] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_type, 7), + [258] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_type, 7, .production_id = 66), + [260] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_type, 7, .production_id = 66), + [262] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3045), + [264] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2429), + [266] = {.entry = {.count = 1, .reusable = false}}, SHIFT(74), + [268] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3047), + [270] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_type, 9, .production_id = 77), + [272] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_type, 9, .production_id = 77), + [274] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3040), + [276] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2427), + [278] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3063), + [280] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_type, 4, .production_id = 25), + [282] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_type, 4, .production_id = 25), + [284] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_type, 9), + [286] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_type, 9), + [288] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(2178), + [291] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_type, 5, .production_id = 55), + [293] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_type, 5, .production_id = 55), + [295] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_NULL, 1, .production_id = 3), + [297] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_NULL, 1, .production_id = 3), + [299] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3099), + [301] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2417), + [303] = {.entry = {.count = 1, .reusable = true}}, SHIFT(83), + [305] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3101), + [307] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_type, 8), + [309] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_type, 8), + [311] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(2118), + [314] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_number, 1), + [316] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_number, 1), + [318] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3048), + [320] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2428), + [322] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3050), + [324] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3307), + [326] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3311), + [328] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_type_cast, 3, .production_id = 12), + [330] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_type_cast, 3, .production_id = 12), + [332] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2308), + [334] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3229), + [336] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3383), + [338] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__expression, 1), + [340] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym__expression, 1), + [342] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1956), + [344] = {.entry = {.count = 1, .reusable = true}}, SHIFT(159), + [346] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2315), + [348] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__aliasable_expression, 1), + [350] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym__aliasable_expression, 1), + [352] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2125), + [354] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2751), + [356] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2476), + [358] = {.entry = {.count = 1, .reusable = true}}, SHIFT(893), + [360] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1984), + [362] = {.entry = {.count = 1, .reusable = false}}, SHIFT(892), + [364] = {.entry = {.count = 1, .reusable = false}}, SHIFT(891), + [366] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2757), + [368] = {.entry = {.count = 1, .reusable = false}}, SHIFT(113), + [370] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3175), + [372] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3172), + [374] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2120), + [376] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2120), + [378] = {.entry = {.count = 1, .reusable = true}}, SHIFT(890), + [380] = {.entry = {.count = 1, .reusable = false}}, SHIFT(890), + [382] = {.entry = {.count = 1, .reusable = false}}, SHIFT(893), + [384] = {.entry = {.count = 1, .reusable = true}}, SHIFT(886), + [386] = {.entry = {.count = 1, .reusable = true}}, SHIFT(883), + [388] = {.entry = {.count = 1, .reusable = false}}, SHIFT(883), + [390] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3102), + [392] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2416), + [394] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3104), + [396] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_array_type_repeat1, 2), SHIFT_REPEAT(2315), + [399] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3095), + [401] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3113), + [403] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_array_type_repeat1, 2), SHIFT_REPEAT(2308), + [406] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_string, 3, .production_id = 11), + [408] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_string, 3, .production_id = 11), + [410] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3051), + [412] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3052), + [414] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_array_type_repeat1, 2), SHIFT_REPEAT(2305), + [417] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3011), + [419] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2439), + [421] = {.entry = {.count = 1, .reusable = true}}, SHIFT(743), + [423] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1976), + [425] = {.entry = {.count = 1, .reusable = false}}, SHIFT(746), + [427] = {.entry = {.count = 1, .reusable = false}}, SHIFT(747), + [429] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3012), + [431] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2133), + [433] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2133), + [435] = {.entry = {.count = 1, .reusable = true}}, SHIFT(749), + [437] = {.entry = {.count = 1, .reusable = false}}, SHIFT(749), + [439] = {.entry = {.count = 1, .reusable = false}}, SHIFT(743), + [441] = {.entry = {.count = 1, .reusable = true}}, SHIFT(751), + [443] = {.entry = {.count = 1, .reusable = true}}, SHIFT(752), + [445] = {.entry = {.count = 1, .reusable = false}}, SHIFT(752), + [447] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1963), + [449] = {.entry = {.count = 1, .reusable = true}}, SHIFT(239), + [451] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__parenthesized_expression, 3), + [453] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym__parenthesized_expression, 3), + [455] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_call, 3, .production_id = 13), + [457] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_function_call, 3, .production_id = 13), + [459] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_call, 5, .production_id = 33), + [461] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_function_call, 5, .production_id = 33), + [463] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3109), + [465] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3110), + [467] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3053), + [469] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3054), + [471] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3055), + [473] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3056), + [475] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2333), + [477] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2194), + [479] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(2194), + [482] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2305), + [484] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3105), + [486] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3106), + [488] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_array_type_repeat1, 2), SHIFT_REPEAT(2333), + [491] = {.entry = {.count = 1, .reusable = false}}, SHIFT(915), + [493] = {.entry = {.count = 1, .reusable = true}}, SHIFT(399), + [495] = {.entry = {.count = 1, .reusable = false}}, SHIFT(994), + [497] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3391), + [499] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2420), + [501] = {.entry = {.count = 1, .reusable = false}}, SHIFT(995), + [503] = {.entry = {.count = 1, .reusable = false}}, SHIFT(997), + [505] = {.entry = {.count = 1, .reusable = false}}, SHIFT(987), + [507] = {.entry = {.count = 1, .reusable = false}}, SHIFT(504), + [509] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3138), + [511] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3139), + [513] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3088), + [515] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3089), + [517] = {.entry = {.count = 1, .reusable = true}}, SHIFT(677), + [519] = {.entry = {.count = 1, .reusable = false}}, SHIFT(677), + [521] = {.entry = {.count = 1, .reusable = true}}, SHIFT(998), + [523] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2320), + [525] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2989), + [527] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3107), + [529] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3108), + [531] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1944), + [533] = {.entry = {.count = 1, .reusable = true}}, SHIFT(232), + [535] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_call, 4, .production_id = 20), + [537] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_function_call, 4, .production_id = 20), + [539] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_argument_reference, 2), + [541] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_argument_reference, 2), + [543] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_in_expression, 4), + [545] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_in_expression, 4), + [547] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_array_element_access, 4), + [549] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_array_element_access, 4), + [551] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_select_subexpression, 4), + [553] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_select_subexpression, 4), + [555] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_boolean_expression, 2), + [557] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_boolean_expression, 2), + [559] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_unary_expression, 2, .production_id = 8), + [561] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_unary_expression, 2, .production_id = 8), + [563] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_interval_expression, 2), + [565] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_interval_expression, 2), + [567] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_is_expression, 4), + [569] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_is_expression, 4), + [571] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_asterisk_expression, 2), + [573] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_asterisk_expression, 2), + [575] = {.entry = {.count = 1, .reusable = true}}, SHIFT(622), + [577] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2182), + [579] = {.entry = {.count = 1, .reusable = true}}, SHIFT(875), + [581] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_binary_expression, 3, .production_id = 14), + [583] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_binary_expression, 3, .production_id = 14), + [585] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_json_access, 3), + [587] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_json_access, 3), + [589] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_boolean_expression, 3), + [591] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_boolean_expression, 3), + [593] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_is_expression, 3), + [595] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_is_expression, 3), + [597] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_in_expression, 3), + [599] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_in_expression, 3), + [601] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_tuple, 4, .production_id = 47), + [603] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_tuple, 4, .production_id = 47), + [605] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_conditional_expression, 5), + [607] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_conditional_expression, 5), + [609] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_tuple, 3, .production_id = 34), + [611] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_tuple, 3, .production_id = 34), + [613] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_select_subexpression, 3), + [615] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_select_subexpression, 3), + [617] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_distinct_from, 3, .production_id = 35), + [619] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_distinct_from, 3, .production_id = 35), + [621] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_at_time_zone_expression, 5, .production_id = 36), + [623] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_at_time_zone_expression, 5, .production_id = 36), + [625] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_asterisk_expression, 1), + [627] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_asterisk_expression, 1), + [629] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_FALSE, 1), + [631] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_FALSE, 1), + [633] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_TRUE, 1), + [635] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_TRUE, 1), + [637] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_conditional_expression, 3), + [639] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_conditional_expression, 3), + [641] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3065), + [643] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2411), + [645] = {.entry = {.count = 1, .reusable = true}}, SHIFT(833), + [647] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1973), + [649] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3066), + [651] = {.entry = {.count = 1, .reusable = true}}, SHIFT(836), + [653] = {.entry = {.count = 1, .reusable = false}}, SHIFT(836), + [655] = {.entry = {.count = 1, .reusable = false}}, SHIFT(833), + [657] = {.entry = {.count = 1, .reusable = true}}, SHIFT(837), + [659] = {.entry = {.count = 1, .reusable = true}}, SHIFT(838), + [661] = {.entry = {.count = 1, .reusable = false}}, SHIFT(838), + [663] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3204), + [665] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2392), + [667] = {.entry = {.count = 1, .reusable = true}}, SHIFT(285), + [669] = {.entry = {.count = 1, .reusable = true}}, SHIFT(294), + [671] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3206), + [673] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(2142), + [676] = {.entry = {.count = 1, .reusable = true}}, SHIFT(834), + [678] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2142), + [680] = {.entry = {.count = 1, .reusable = true}}, SHIFT(835), + [682] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(2200), + [685] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2200), + [687] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3207), + [689] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2391), + [691] = {.entry = {.count = 1, .reusable = true}}, SHIFT(293), + [693] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3209), + [695] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(2182), + [698] = {.entry = {.count = 1, .reusable = true}}, SHIFT(676), + [700] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2113), + [702] = {.entry = {.count = 1, .reusable = true}}, SHIFT(932), + [704] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3210), + [706] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2390), + [708] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3212), + [710] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2142), + [712] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2988), + [714] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2441), + [716] = {.entry = {.count = 1, .reusable = false}}, SHIFT(334), + [718] = {.entry = {.count = 1, .reusable = false}}, SHIFT(366), + [720] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2990), + [722] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3217), + [724] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3218), + [726] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2123), + [728] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3213), + [730] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3214), + [732] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1948), + [734] = {.entry = {.count = 1, .reusable = true}}, SHIFT(341), + [736] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(2123), + [739] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3215), + [741] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3216), + [743] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_check_constraint, 2), + [745] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2115), + [747] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2115), + [749] = {.entry = {.count = 1, .reusable = true}}, SHIFT(648), + [751] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2157), + [753] = {.entry = {.count = 1, .reusable = true}}, SHIFT(936), + [755] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2957), + [757] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2448), + [759] = {.entry = {.count = 1, .reusable = true}}, SHIFT(376), + [761] = {.entry = {.count = 1, .reusable = true}}, SHIFT(402), + [763] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2959), + [765] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(2113), + [768] = {.entry = {.count = 1, .reusable = true}}, SHIFT(642), + [770] = {.entry = {.count = 1, .reusable = true}}, SHIFT(927), + [772] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2991), + [774] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2440), + [776] = {.entry = {.count = 1, .reusable = false}}, SHIFT(347), + [778] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2993), + [780] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3173), + [782] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2492), + [784] = {.entry = {.count = 1, .reusable = true}}, SHIFT(739), + [786] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1982), + [788] = {.entry = {.count = 1, .reusable = true}}, SHIFT(738), + [790] = {.entry = {.count = 1, .reusable = false}}, SHIFT(737), + [792] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3174), + [794] = {.entry = {.count = 1, .reusable = true}}, SHIFT(736), + [796] = {.entry = {.count = 1, .reusable = false}}, SHIFT(736), + [798] = {.entry = {.count = 1, .reusable = false}}, SHIFT(739), + [800] = {.entry = {.count = 1, .reusable = true}}, SHIFT(735), + [802] = {.entry = {.count = 1, .reusable = true}}, SHIFT(734), + [804] = {.entry = {.count = 1, .reusable = false}}, SHIFT(734), + [806] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(2192), + [809] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2994), + [811] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2438), + [813] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2996), + [815] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2192), + [817] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_join_clause, 5), + [819] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2175), + [821] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2175), + [823] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2960), + [825] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2447), + [827] = {.entry = {.count = 1, .reusable = true}}, SHIFT(389), + [829] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2962), + [831] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_join_clause, 4), + [833] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2033), + [835] = {.entry = {.count = 1, .reusable = false}}, SHIFT(896), + [837] = {.entry = {.count = 1, .reusable = true}}, SHIFT(385), + [839] = {.entry = {.count = 1, .reusable = false}}, SHIFT(538), + [841] = {.entry = {.count = 1, .reusable = false}}, SHIFT(127), + [843] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3379), + [845] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2361), + [847] = {.entry = {.count = 1, .reusable = false}}, SHIFT(537), + [849] = {.entry = {.count = 1, .reusable = false}}, SHIFT(536), + [851] = {.entry = {.count = 1, .reusable = false}}, SHIFT(513), + [853] = {.entry = {.count = 1, .reusable = false}}, SHIFT(287), + [855] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2974), + [857] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2975), + [859] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2891), + [861] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2892), + [863] = {.entry = {.count = 1, .reusable = true}}, SHIFT(764), + [865] = {.entry = {.count = 1, .reusable = false}}, SHIFT(764), + [867] = {.entry = {.count = 1, .reusable = true}}, SHIFT(530), + [869] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2351), + [871] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2744), + [873] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2287), + [875] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_array_type_repeat1, 2), SHIFT_REPEAT(2287), + [878] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2963), + [880] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2446), + [882] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2965), + [884] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2997), + [886] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2998), + [888] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2204), + [890] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2951), + [892] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2496), + [894] = {.entry = {.count = 1, .reusable = true}}, SHIFT(882), + [896] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1985), + [898] = {.entry = {.count = 1, .reusable = false}}, SHIFT(884), + [900] = {.entry = {.count = 1, .reusable = false}}, SHIFT(885), + [902] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2506), + [904] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2146), + [906] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2146), + [908] = {.entry = {.count = 1, .reusable = true}}, SHIFT(887), + [910] = {.entry = {.count = 1, .reusable = false}}, SHIFT(887), + [912] = {.entry = {.count = 1, .reusable = false}}, SHIFT(882), + [914] = {.entry = {.count = 1, .reusable = true}}, SHIFT(888), + [916] = {.entry = {.count = 1, .reusable = true}}, SHIFT(889), + [918] = {.entry = {.count = 1, .reusable = false}}, SHIFT(889), + [920] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(2204), + [923] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1952), + [925] = {.entry = {.count = 1, .reusable = true}}, SHIFT(467), + [927] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3001), + [929] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3002), + [931] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2999), + [933] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3000), + [935] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(2157), + [938] = {.entry = {.count = 1, .reusable = true}}, SHIFT(659), + [940] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2154), + [942] = {.entry = {.count = 1, .reusable = true}}, SHIFT(842), + [944] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2968), + [946] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2969), + [948] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2970), + [950] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2971), + [952] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2140), + [954] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3258), + [956] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2381), + [958] = {.entry = {.count = 1, .reusable = true}}, SHIFT(482), + [960] = {.entry = {.count = 1, .reusable = true}}, SHIFT(517), + [962] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3260), + [964] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2966), + [966] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2967), + [968] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(2140), + [971] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1953), + [973] = {.entry = {.count = 1, .reusable = true}}, SHIFT(590), + [975] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3123), + [977] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2412), + [979] = {.entry = {.count = 1, .reusable = false}}, SHIFT(493), + [981] = {.entry = {.count = 1, .reusable = false}}, SHIFT(554), + [983] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3125), + [985] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1951), + [987] = {.entry = {.count = 1, .reusable = true}}, SHIFT(605), + [989] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_array_type_repeat1, 2), SHIFT_REPEAT(2244), + [992] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2244), + [994] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(2154), + [997] = {.entry = {.count = 1, .reusable = true}}, SHIFT(651), + [999] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2191), + [1001] = {.entry = {.count = 1, .reusable = true}}, SHIFT(948), + [1003] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3261), + [1005] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2380), + [1007] = {.entry = {.count = 1, .reusable = true}}, SHIFT(507), + [1009] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3263), + [1011] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3126), + [1013] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2410), + [1015] = {.entry = {.count = 1, .reusable = false}}, SHIFT(579), + [1017] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3128), + [1019] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_order_by_clause_body, 1), + [1021] = {.entry = {.count = 1, .reusable = true}}, SHIFT(908), + [1023] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3177), + [1025] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2397), + [1027] = {.entry = {.count = 1, .reusable = false}}, SHIFT(609), + [1029] = {.entry = {.count = 1, .reusable = false}}, SHIFT(625), + [1031] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3179), + [1033] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3264), + [1035] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2379), + [1037] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3266), + [1039] = {.entry = {.count = 1, .reusable = true}}, SHIFT(673), + [1041] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2134), + [1043] = {.entry = {.count = 1, .reusable = true}}, SHIFT(881), + [1045] = {.entry = {.count = 1, .reusable = false}}, SHIFT(913), + [1047] = {.entry = {.count = 1, .reusable = true}}, SHIFT(384), + [1049] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1462), + [1051] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3389), + [1053] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2431), + [1055] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1461), + [1057] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1460), + [1059] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1459), + [1061] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1209), + [1063] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3084), + [1065] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3085), + [1067] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3034), + [1069] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3035), + [1071] = {.entry = {.count = 1, .reusable = true}}, SHIFT(769), + [1073] = {.entry = {.count = 1, .reusable = false}}, SHIFT(769), + [1075] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1458), + [1077] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2357), + [1079] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3385), + [1081] = {.entry = {.count = 1, .reusable = false}}, SHIFT(924), + [1083] = {.entry = {.count = 1, .reusable = true}}, SHIFT(411), + [1085] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1338), + [1087] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3397), + [1089] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2384), + [1091] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1337), + [1093] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1336), + [1095] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1349), + [1097] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1112), + [1099] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3360), + [1101] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3361), + [1103] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3250), + [1105] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3251), + [1107] = {.entry = {.count = 1, .reusable = true}}, SHIFT(750), + [1109] = {.entry = {.count = 1, .reusable = false}}, SHIFT(750), + [1111] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1335), + [1113] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2306), + [1115] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2690), + [1117] = {.entry = {.count = 1, .reusable = false}}, SHIFT(912), + [1119] = {.entry = {.count = 1, .reusable = true}}, SHIFT(382), + [1121] = {.entry = {.count = 1, .reusable = false}}, SHIFT(217), + [1123] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3388), + [1125] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2437), + [1127] = {.entry = {.count = 1, .reusable = false}}, SHIFT(218), + [1129] = {.entry = {.count = 1, .reusable = false}}, SHIFT(219), + [1131] = {.entry = {.count = 1, .reusable = false}}, SHIFT(220), + [1133] = {.entry = {.count = 1, .reusable = false}}, SHIFT(45), + [1135] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3057), + [1137] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3058), + [1139] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3007), + [1141] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3008), + [1143] = {.entry = {.count = 1, .reusable = true}}, SHIFT(680), + [1145] = {.entry = {.count = 1, .reusable = false}}, SHIFT(680), + [1147] = {.entry = {.count = 1, .reusable = true}}, SHIFT(221), + [1149] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2313), + [1151] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2953), + [1153] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2983), + [1155] = {.entry = {.count = 1, .reusable = true}}, SHIFT(796), + [1157] = {.entry = {.count = 1, .reusable = false}}, SHIFT(796), + [1159] = {.entry = {.count = 1, .reusable = true}}, SHIFT(795), + [1161] = {.entry = {.count = 1, .reusable = true}}, SHIFT(794), + [1163] = {.entry = {.count = 1, .reusable = false}}, SHIFT(794), + [1165] = {.entry = {.count = 1, .reusable = false}}, SHIFT(917), + [1167] = {.entry = {.count = 1, .reusable = true}}, SHIFT(378), + [1169] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1040), + [1171] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3393), + [1173] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2407), + [1175] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1071), + [1177] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1069), + [1179] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1075), + [1181] = {.entry = {.count = 1, .reusable = false}}, SHIFT(549), + [1183] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3300), + [1185] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3301), + [1187] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3142), + [1189] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3143), + [1191] = {.entry = {.count = 1, .reusable = true}}, SHIFT(778), + [1193] = {.entry = {.count = 1, .reusable = false}}, SHIFT(778), + [1195] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1056), + [1197] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2274), + [1199] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2534), + [1201] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_group_by_clause_body_repeat1, 2), + [1203] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2901), + [1205] = {.entry = {.count = 1, .reusable = true}}, SHIFT(790), + [1207] = {.entry = {.count = 1, .reusable = false}}, SHIFT(906), + [1209] = {.entry = {.count = 1, .reusable = true}}, SHIFT(383), + [1211] = {.entry = {.count = 1, .reusable = false}}, SHIFT(476), + [1213] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3386), + [1215] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2452), + [1217] = {.entry = {.count = 1, .reusable = false}}, SHIFT(478), + [1219] = {.entry = {.count = 1, .reusable = false}}, SHIFT(484), + [1221] = {.entry = {.count = 1, .reusable = false}}, SHIFT(486), + [1223] = {.entry = {.count = 1, .reusable = false}}, SHIFT(301), + [1225] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3003), + [1227] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3004), + [1229] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2947), + [1231] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2948), + [1233] = {.entry = {.count = 1, .reusable = true}}, SHIFT(867), + [1235] = {.entry = {.count = 1, .reusable = false}}, SHIFT(867), + [1237] = {.entry = {.count = 1, .reusable = true}}, SHIFT(453), + [1239] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2272), + [1241] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2626), + [1243] = {.entry = {.count = 1, .reusable = true}}, SHIFT(791), + [1245] = {.entry = {.count = 1, .reusable = false}}, SHIFT(791), + [1247] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2982), + [1249] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2502), + [1251] = {.entry = {.count = 1, .reusable = true}}, SHIFT(804), + [1253] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1977), + [1255] = {.entry = {.count = 1, .reusable = true}}, SHIFT(799), + [1257] = {.entry = {.count = 1, .reusable = false}}, SHIFT(798), + [1259] = {.entry = {.count = 1, .reusable = false}}, SHIFT(804), + [1261] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2900), + [1263] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2481), + [1265] = {.entry = {.count = 1, .reusable = true}}, SHIFT(784), + [1267] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1990), + [1269] = {.entry = {.count = 1, .reusable = true}}, SHIFT(786), + [1271] = {.entry = {.count = 1, .reusable = true}}, SHIFT(789), + [1273] = {.entry = {.count = 1, .reusable = false}}, SHIFT(789), + [1275] = {.entry = {.count = 1, .reusable = false}}, SHIFT(784), + [1277] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2293), + [1279] = {.entry = {.count = 1, .reusable = true}}, SHIFT(787), + [1281] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_array_type_repeat1, 2), SHIFT_REPEAT(2293), + [1284] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3129), + [1286] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2409), + [1288] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3131), + [1290] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3267), + [1292] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3268), + [1294] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3271), + [1296] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3272), + [1298] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_group_by_clause_body, 1), + [1300] = {.entry = {.count = 1, .reusable = true}}, SHIFT(901), + [1302] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2139), + [1304] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2139), + [1306] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1942), + [1308] = {.entry = {.count = 1, .reusable = true}}, SHIFT(701), + [1310] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3180), + [1312] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2396), + [1314] = {.entry = {.count = 1, .reusable = false}}, SHIFT(621), + [1316] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3182), + [1318] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(2191), + [1321] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2201), + [1323] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3269), + [1325] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3270), + [1327] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(2201), + [1330] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_where_clause, 2), + [1332] = {.entry = {.count = 1, .reusable = false}}, SHIFT(925), + [1334] = {.entry = {.count = 1, .reusable = true}}, SHIFT(379), + [1336] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1299), + [1338] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3398), + [1340] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2377), + [1342] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1298), + [1344] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1297), + [1346] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1346), + [1348] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1110), + [1350] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3364), + [1352] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3365), + [1354] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3277), + [1356] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3278), + [1358] = {.entry = {.count = 1, .reusable = true}}, SHIFT(768), + [1360] = {.entry = {.count = 1, .reusable = false}}, SHIFT(768), + [1362] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1296), + [1364] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2339), + [1366] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2731), + [1368] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3183), + [1370] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2395), + [1372] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3185), + [1374] = {.entry = {.count = 1, .reusable = true}}, SHIFT(332), + [1376] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3136), + [1378] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3137), + [1380] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(2134), + [1383] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3134), + [1385] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3135), + [1387] = {.entry = {.count = 1, .reusable = true}}, SHIFT(494), + [1389] = {.entry = {.count = 1, .reusable = false}}, SHIFT(914), + [1391] = {.entry = {.count = 1, .reusable = true}}, SHIFT(391), + [1393] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1873), + [1395] = {.entry = {.count = 1, .reusable = false}}, SHIFT(228), + [1397] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3390), + [1399] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2426), + [1401] = {.entry = {.count = 1, .reusable = false}}, SHIFT(276), + [1403] = {.entry = {.count = 1, .reusable = false}}, SHIFT(275), + [1405] = {.entry = {.count = 1, .reusable = false}}, SHIFT(236), + [1407] = {.entry = {.count = 1, .reusable = false}}, SHIFT(24), + [1409] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3111), + [1411] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3112), + [1413] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3061), + [1415] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3062), + [1417] = {.entry = {.count = 1, .reusable = true}}, SHIFT(832), + [1419] = {.entry = {.count = 1, .reusable = false}}, SHIFT(832), + [1421] = {.entry = {.count = 1, .reusable = true}}, SHIFT(257), + [1423] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2343), + [1425] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3171), + [1427] = {.entry = {.count = 1, .reusable = true}}, SHIFT(205), + [1429] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3132), + [1431] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3133), + [1433] = {.entry = {.count = 1, .reusable = true}}, SHIFT(957), + [1435] = {.entry = {.count = 1, .reusable = true}}, SHIFT(160), + [1437] = {.entry = {.count = 1, .reusable = true}}, SHIFT(101), + [1439] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1472), + [1441] = {.entry = {.count = 1, .reusable = true}}, SHIFT(628), + [1443] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1273), + [1445] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1282), + [1447] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1407), + [1449] = {.entry = {.count = 1, .reusable = false}}, SHIFT(916), + [1451] = {.entry = {.count = 1, .reusable = true}}, SHIFT(400), + [1453] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1508), + [1455] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3392), + [1457] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2414), + [1459] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1507), + [1461] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1506), + [1463] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1483), + [1465] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1088), + [1467] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3273), + [1469] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3274), + [1471] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3115), + [1473] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3116), + [1475] = {.entry = {.count = 1, .reusable = true}}, SHIFT(859), + [1477] = {.entry = {.count = 1, .reusable = false}}, SHIFT(859), + [1479] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1505), + [1481] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2299), + [1483] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2705), + [1485] = {.entry = {.count = 1, .reusable = true}}, SHIFT(152), + [1487] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1128), + [1489] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_assigment_expression, 3), + [1491] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1841), + [1493] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1397), + [1495] = {.entry = {.count = 1, .reusable = true}}, SHIFT(989), + [1497] = {.entry = {.count = 1, .reusable = false}}, SHIFT(909), + [1499] = {.entry = {.count = 1, .reusable = true}}, SHIFT(381), + [1501] = {.entry = {.count = 1, .reusable = false}}, SHIFT(64), + [1503] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3387), + [1505] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2444), + [1507] = {.entry = {.count = 1, .reusable = false}}, SHIFT(564), + [1509] = {.entry = {.count = 1, .reusable = false}}, SHIFT(565), + [1511] = {.entry = {.count = 1, .reusable = false}}, SHIFT(509), + [1513] = {.entry = {.count = 1, .reusable = false}}, SHIFT(373), + [1515] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3030), + [1517] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3031), + [1519] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2978), + [1521] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2979), + [1523] = {.entry = {.count = 1, .reusable = true}}, SHIFT(953), + [1525] = {.entry = {.count = 1, .reusable = false}}, SHIFT(953), + [1527] = {.entry = {.count = 1, .reusable = true}}, SHIFT(569), + [1529] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2265), + [1531] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2544), + [1533] = {.entry = {.count = 1, .reusable = true}}, SHIFT(421), + [1535] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_table_constraint_check, 2), + [1537] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3227), + [1539] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2487), + [1541] = {.entry = {.count = 1, .reusable = true}}, SHIFT(702), + [1543] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1981), + [1545] = {.entry = {.count = 1, .reusable = true}}, SHIFT(705), + [1547] = {.entry = {.count = 1, .reusable = true}}, SHIFT(706), + [1549] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3228), + [1551] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2137), + [1553] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2137), + [1555] = {.entry = {.count = 1, .reusable = true}}, SHIFT(707), + [1557] = {.entry = {.count = 1, .reusable = false}}, SHIFT(707), + [1559] = {.entry = {.count = 1, .reusable = false}}, SHIFT(702), + [1561] = {.entry = {.count = 1, .reusable = true}}, SHIFT(708), + [1563] = {.entry = {.count = 1, .reusable = true}}, SHIFT(709), + [1565] = {.entry = {.count = 1, .reusable = false}}, SHIFT(709), + [1567] = {.entry = {.count = 1, .reusable = false}}, SHIFT(921), + [1569] = {.entry = {.count = 1, .reusable = true}}, SHIFT(404), + [1571] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1546), + [1573] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3395), + [1575] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2394), + [1577] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1545), + [1579] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1544), + [1581] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1536), + [1583] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1105), + [1585] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3352), + [1587] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3353), + [1589] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3196), + [1591] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3197), + [1593] = {.entry = {.count = 1, .reusable = true}}, SHIFT(685), + [1595] = {.entry = {.count = 1, .reusable = false}}, SHIFT(685), + [1597] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1543), + [1599] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2259), + [1601] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2609), + [1603] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3190), + [1605] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3191), + [1607] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3188), + [1609] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3189), + [1611] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3186), + [1613] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3187), + [1615] = {.entry = {.count = 1, .reusable = false}}, SHIFT(923), + [1617] = {.entry = {.count = 1, .reusable = true}}, SHIFT(407), + [1619] = {.entry = {.count = 1, .reusable = false}}, SHIFT(692), + [1621] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3396), + [1623] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2389), + [1625] = {.entry = {.count = 1, .reusable = false}}, SHIFT(693), + [1627] = {.entry = {.count = 1, .reusable = false}}, SHIFT(694), + [1629] = {.entry = {.count = 1, .reusable = false}}, SHIFT(848), + [1631] = {.entry = {.count = 1, .reusable = false}}, SHIFT(436), + [1633] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3354), + [1635] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3355), + [1637] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3223), + [1639] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3224), + [1641] = {.entry = {.count = 1, .reusable = true}}, SHIFT(695), + [1643] = {.entry = {.count = 1, .reusable = false}}, SHIFT(695), + [1645] = {.entry = {.count = 1, .reusable = true}}, SHIFT(696), + [1647] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2286), + [1649] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2649), + [1651] = {.entry = {.count = 1, .reusable = false}}, SHIFT(918), + [1653] = {.entry = {.count = 1, .reusable = true}}, SHIFT(401), + [1655] = {.entry = {.count = 1, .reusable = false}}, SHIFT(374), + [1657] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3394), + [1659] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2400), + [1661] = {.entry = {.count = 1, .reusable = false}}, SHIFT(370), + [1663] = {.entry = {.count = 1, .reusable = false}}, SHIFT(369), + [1665] = {.entry = {.count = 1, .reusable = false}}, SHIFT(375), + [1667] = {.entry = {.count = 1, .reusable = false}}, SHIFT(225), + [1669] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3348), + [1671] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3349), + [1673] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3169), + [1675] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3170), + [1677] = {.entry = {.count = 1, .reusable = true}}, SHIFT(758), + [1679] = {.entry = {.count = 1, .reusable = false}}, SHIFT(758), + [1681] = {.entry = {.count = 1, .reusable = true}}, SHIFT(345), + [1683] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2252), + [1685] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2571), + [1687] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3092), + [1689] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2453), + [1691] = {.entry = {.count = 1, .reusable = true}}, SHIFT(865), + [1693] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1970), + [1695] = {.entry = {.count = 1, .reusable = false}}, SHIFT(869), + [1697] = {.entry = {.count = 1, .reusable = false}}, SHIFT(870), + [1699] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3093), + [1701] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2147), + [1703] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2147), + [1705] = {.entry = {.count = 1, .reusable = true}}, SHIFT(871), + [1707] = {.entry = {.count = 1, .reusable = false}}, SHIFT(871), + [1709] = {.entry = {.count = 1, .reusable = false}}, SHIFT(865), + [1711] = {.entry = {.count = 1, .reusable = true}}, SHIFT(872), + [1713] = {.entry = {.count = 1, .reusable = true}}, SHIFT(873), + [1715] = {.entry = {.count = 1, .reusable = false}}, SHIFT(873), + [1717] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2284), + [1719] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_array_type_repeat1, 2), SHIFT_REPEAT(2284), + [1722] = {.entry = {.count = 1, .reusable = false}}, SHIFT(926), + [1724] = {.entry = {.count = 1, .reusable = true}}, SHIFT(394), + [1726] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1188), + [1728] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3399), + [1730] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2372), + [1732] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1189), + [1734] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1190), + [1736] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1169), + [1738] = {.entry = {.count = 1, .reusable = false}}, SHIFT(629), + [1740] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3368), + [1742] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3369), + [1744] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3304), + [1746] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3305), + [1748] = {.entry = {.count = 1, .reusable = true}}, SHIFT(815), + [1750] = {.entry = {.count = 1, .reusable = false}}, SHIFT(815), + [1752] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1191), + [1754] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2312), + [1756] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2772), + [1758] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1958), + [1760] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1007), + [1762] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2201), + [1764] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2241), + [1766] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_array_type_repeat1, 2), SHIFT_REPEAT(2241), + [1769] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3146), + [1771] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2497), + [1773] = {.entry = {.count = 1, .reusable = true}}, SHIFT(760), + [1775] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1975), + [1777] = {.entry = {.count = 1, .reusable = false}}, SHIFT(748), + [1779] = {.entry = {.count = 1, .reusable = false}}, SHIFT(745), + [1781] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3147), + [1783] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2166), + [1785] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2166), + [1787] = {.entry = {.count = 1, .reusable = true}}, SHIFT(690), + [1789] = {.entry = {.count = 1, .reusable = false}}, SHIFT(690), + [1791] = {.entry = {.count = 1, .reusable = false}}, SHIFT(760), + [1793] = {.entry = {.count = 1, .reusable = true}}, SHIFT(829), + [1795] = {.entry = {.count = 1, .reusable = true}}, SHIFT(899), + [1797] = {.entry = {.count = 1, .reusable = false}}, SHIFT(899), + [1799] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1945), + [1801] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1068), + [1803] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_alter_table_action_set, 2), + [1805] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_set_statement, 5, .production_id = 19), + [1807] = {.entry = {.count = 1, .reusable = true}}, SHIFT(667), + [1809] = {.entry = {.count = 1, .reusable = true}}, SHIFT(770), + [1811] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3329), + [1813] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2367), + [1815] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1039), + [1817] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1079), + [1819] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3331), + [1821] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_set_statement, 4), + [1823] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3332), + [1825] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2366), + [1827] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1082), + [1829] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3334), + [1831] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(2141), + [1834] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2141), + [1836] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2132), + [1838] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(2132), + [1841] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(2171), + [1844] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2171), + [1846] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2172), + [1848] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3335), + [1850] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2365), + [1852] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3337), + [1854] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(2172), + [1857] = {.entry = {.count = 1, .reusable = true}}, SHIFT(662), + [1859] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2159), + [1861] = {.entry = {.count = 1, .reusable = true}}, SHIFT(792), + [1863] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2189), + [1865] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3285), + [1867] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2376), + [1869] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1122), + [1871] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1156), + [1873] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3287), + [1875] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3312), + [1877] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2370), + [1879] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1123), + [1881] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1127), + [1883] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3314), + [1885] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3340), + [1887] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3341), + [1889] = {.entry = {.count = 1, .reusable = true}}, SHIFT(660), + [1891] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2186), + [1893] = {.entry = {.count = 1, .reusable = true}}, SHIFT(805), + [1895] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(2189), + [1898] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3342), + [1900] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3343), + [1902] = {.entry = {.count = 1, .reusable = true}}, SHIFT(619), + [1904] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3281), + [1906] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1797), + [1908] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2479), + [1910] = {.entry = {.count = 1, .reusable = true}}, SHIFT(771), + [1912] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2124), + [1914] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1978), + [1916] = {.entry = {.count = 1, .reusable = false}}, SHIFT(772), + [1918] = {.entry = {.count = 1, .reusable = false}}, SHIFT(773), + [1920] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3282), + [1922] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2184), + [1924] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2184), + [1926] = {.entry = {.count = 1, .reusable = true}}, SHIFT(774), + [1928] = {.entry = {.count = 1, .reusable = false}}, SHIFT(774), + [1930] = {.entry = {.count = 1, .reusable = false}}, SHIFT(771), + [1932] = {.entry = {.count = 1, .reusable = true}}, SHIFT(775), + [1934] = {.entry = {.count = 1, .reusable = true}}, SHIFT(776), + [1936] = {.entry = {.count = 1, .reusable = false}}, SHIFT(776), + [1938] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3338), + [1940] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3339), + [1942] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2171), + [1944] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2172), + [1946] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_array_type_repeat1, 2), SHIFT_REPEAT(2277), + [1949] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_source_file, 1), + [1951] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2151), + [1953] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2151), + [1955] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_index_table_parameters_repeat1, 2), + [1957] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1960), + [1959] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1201), + [1961] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2277), + [1963] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_source_file_repeat1, 2), + [1965] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_source_file_repeat1, 2), SHIFT_REPEAT(1780), + [1968] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_source_file_repeat1, 2), SHIFT_REPEAT(1783), + [1971] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_source_file_repeat1, 2), SHIFT_REPEAT(1786), + [1974] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_source_file_repeat1, 2), SHIFT_REPEAT(2033), + [1977] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_source_file_repeat1, 2), SHIFT_REPEAT(3310), + [1980] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_source_file_repeat1, 2), SHIFT_REPEAT(1909), + [1983] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_source_file_repeat1, 2), SHIFT_REPEAT(2220), + [1986] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_source_file_repeat1, 2), SHIFT_REPEAT(2035), + [1989] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_source_file_repeat1, 2), SHIFT_REPEAT(3283), + [1992] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_source_file_repeat1, 2), SHIFT_REPEAT(2114), + [1995] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_source_file_repeat1, 2), SHIFT_REPEAT(1938), + [1998] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_source_file_repeat1, 2), SHIFT_REPEAT(2), + [2001] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_source_file_repeat1, 2), SHIFT_REPEAT(2180), + [2004] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_source_file_repeat1, 2), SHIFT_REPEAT(2408), + [2007] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3288), + [2009] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2375), + [2011] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1153), + [2013] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3290), + [2015] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3315), + [2017] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2369), + [2019] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1149), + [2021] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3317), + [2023] = {.entry = {.count = 1, .reusable = true}}, SHIFT(663), + [2025] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2145), + [2027] = {.entry = {.count = 1, .reusable = true}}, SHIFT(942), + [2029] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3150), + [2031] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2404), + [2033] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1203), + [2035] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1213), + [2037] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3152), + [2039] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3069), + [2041] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2424), + [2043] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1173), + [2045] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1223), + [2047] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3071), + [2049] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(2186), + [2052] = {.entry = {.count = 1, .reusable = true}}, SHIFT(671), + [2054] = {.entry = {.count = 1, .reusable = true}}, SHIFT(951), + [2056] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3318), + [2058] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2368), + [2060] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3320), + [2062] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3291), + [2064] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2374), + [2066] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3293), + [2068] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(2159), + [2071] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3308), + [2073] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2475), + [2075] = {.entry = {.count = 1, .reusable = true}}, SHIFT(818), + [2077] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1967), + [2079] = {.entry = {.count = 1, .reusable = true}}, SHIFT(819), + [2081] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3309), + [2083] = {.entry = {.count = 1, .reusable = true}}, SHIFT(823), + [2085] = {.entry = {.count = 1, .reusable = false}}, SHIFT(823), + [2087] = {.entry = {.count = 1, .reusable = false}}, SHIFT(818), + [2089] = {.entry = {.count = 1, .reusable = true}}, SHIFT(827), + [2091] = {.entry = {.count = 1, .reusable = true}}, SHIFT(830), + [2093] = {.entry = {.count = 1, .reusable = false}}, SHIFT(830), + [2095] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3231), + [2097] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2388), + [2099] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1225), + [2101] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1260), + [2103] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3233), + [2105] = {.entry = {.count = 1, .reusable = false}}, SHIFT(822), + [2107] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2198), + [2109] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2198), + [2111] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3294), + [2113] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3295), + [2115] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3072), + [2117] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2423), + [2119] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1227), + [2121] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3074), + [2123] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3296), + [2125] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3297), + [2127] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3323), + [2129] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3324), + [2131] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3298), + [2133] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3299), + [2135] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3321), + [2137] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3322), + [2139] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2152), + [2141] = {.entry = {.count = 1, .reusable = true}}, SHIFT(658), + [2143] = {.entry = {.count = 1, .reusable = true}}, SHIFT(863), + [2145] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3325), + [2147] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3326), + [2149] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3153), + [2151] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2403), + [2153] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1215), + [2155] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3155), + [2157] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(2152), + [2160] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_table_column, 2, .production_id = 23), + [2162] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2501), + [2164] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1915), + [2166] = {.entry = {.count = 1, .reusable = true}}, SHIFT(228), + [2168] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2082), + [2170] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1673), + [2172] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1668), + [2174] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2167), + [2176] = {.entry = {.count = 1, .reusable = true}}, SHIFT(793), + [2178] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1667), + [2180] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2972), + [2182] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3254), + [2184] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2484), + [2186] = {.entry = {.count = 1, .reusable = true}}, SHIFT(753), + [2188] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1969), + [2190] = {.entry = {.count = 1, .reusable = false}}, SHIFT(754), + [2192] = {.entry = {.count = 1, .reusable = false}}, SHIFT(755), + [2194] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3255), + [2196] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2160), + [2198] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2160), + [2200] = {.entry = {.count = 1, .reusable = true}}, SHIFT(756), + [2202] = {.entry = {.count = 1, .reusable = false}}, SHIFT(756), + [2204] = {.entry = {.count = 1, .reusable = false}}, SHIFT(753), + [2206] = {.entry = {.count = 1, .reusable = true}}, SHIFT(757), + [2208] = {.entry = {.count = 1, .reusable = true}}, SHIFT(759), + [2210] = {.entry = {.count = 1, .reusable = false}}, SHIFT(759), + [2212] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3156), + [2214] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2402), + [2216] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3158), + [2218] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2326), + [2220] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2911), + [2222] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2461), + [2224] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1231), + [2226] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1357), + [2228] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2913), + [2230] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_array_type_repeat1, 2), SHIFT_REPEAT(2326), + [2233] = {.entry = {.count = 2, .reusable = false}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(2145), + [2236] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2353), + [2238] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_array_type_repeat1, 2), SHIFT_REPEAT(2353), + [2241] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3234), + [2243] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2387), + [2245] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1263), + [2247] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3236), + [2249] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1959), + [2251] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1288), + [2253] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3075), + [2255] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2422), + [2257] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3077), + [2259] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1964), + [2261] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1327), + [2263] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2917), + [2265] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2459), + [2267] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1358), + [2269] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2919), + [2271] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3159), + [2273] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3160), + [2275] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3161), + [2277] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3162), + [2279] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3163), + [2281] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3164), + [2283] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3237), + [2285] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2386), + [2287] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3239), + [2289] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3078), + [2291] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3079), + [2293] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3080), + [2295] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3081), + [2297] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3082), + [2299] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3083), + [2301] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1943), + [2303] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1450), + [2305] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3038), + [2307] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2371), + [2309] = {.entry = {.count = 1, .reusable = true}}, SHIFT(779), + [2311] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1974), + [2313] = {.entry = {.count = 1, .reusable = false}}, SHIFT(780), + [2315] = {.entry = {.count = 1, .reusable = false}}, SHIFT(781), + [2317] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3039), + [2319] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2128), + [2321] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2128), + [2323] = {.entry = {.count = 1, .reusable = true}}, SHIFT(782), + [2325] = {.entry = {.count = 1, .reusable = false}}, SHIFT(782), + [2327] = {.entry = {.count = 1, .reusable = false}}, SHIFT(779), + [2329] = {.entry = {.count = 1, .reusable = true}}, SHIFT(783), + [2331] = {.entry = {.count = 1, .reusable = true}}, SHIFT(785), + [2333] = {.entry = {.count = 1, .reusable = false}}, SHIFT(785), + [2335] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1949), + [2337] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1487), + [2339] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_array_type_repeat1, 2), SHIFT_REPEAT(2349), + [2342] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2349), + [2344] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3244), + [2346] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3245), + [2348] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3242), + [2350] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3243), + [2352] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3240), + [2354] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3241), + [2356] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_array_type_repeat1, 2), SHIFT_REPEAT(2257), + [2359] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2257), + [2361] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_table_column, 3, .production_id = 23), + [2363] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_table_column_repeat1, 2), + [2365] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_table_column_repeat1, 2), SHIFT_REPEAT(2501), + [2368] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_table_column_repeat1, 2), SHIFT_REPEAT(1915), + [2371] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_table_column_repeat1, 2), SHIFT_REPEAT(228), + [2374] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_table_column_repeat1, 2), SHIFT_REPEAT(2082), + [2377] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_table_column_repeat1, 2), SHIFT_REPEAT(1673), + [2380] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_table_column_repeat1, 2), SHIFT_REPEAT(1668), + [2383] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_table_column_repeat1, 2), SHIFT_REPEAT(2167), + [2386] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_table_column_repeat1, 2), SHIFT_REPEAT(793), + [2389] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_table_column_repeat1, 2), SHIFT_REPEAT(1667), + [2392] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_table_column_repeat1, 2), SHIFT_REPEAT(2972), + [2395] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2921), + [2397] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2456), + [2399] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2923), + [2401] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2930), + [2403] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2931), + [2405] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_array_type_repeat1, 2), SHIFT_REPEAT(2280), + [2408] = {.entry = {.count = 1, .reusable = true}}, SHIFT(824), + [2410] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2928), + [2412] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2929), + [2414] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2932), + [2416] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2933), + [2418] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2280), + [2420] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2164), + [2422] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1961), + [2424] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1539), + [2426] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(2164), + [2429] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3119), + [2431] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2495), + [2433] = {.entry = {.count = 1, .reusable = true}}, SHIFT(857), + [2435] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1972), + [2437] = {.entry = {.count = 1, .reusable = true}}, SHIFT(856), + [2439] = {.entry = {.count = 1, .reusable = false}}, SHIFT(855), + [2441] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3120), + [2443] = {.entry = {.count = 1, .reusable = true}}, SHIFT(854), + [2445] = {.entry = {.count = 1, .reusable = false}}, SHIFT(854), + [2447] = {.entry = {.count = 1, .reusable = false}}, SHIFT(857), + [2449] = {.entry = {.count = 1, .reusable = true}}, SHIFT(814), + [2451] = {.entry = {.count = 1, .reusable = true}}, SHIFT(841), + [2453] = {.entry = {.count = 1, .reusable = false}}, SHIFT(841), + [2455] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_references_constraint, 2), + [2457] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2183), + [2459] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2398), + [2461] = {.entry = {.count = 1, .reusable = true}}, SHIFT(911), + [2463] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2187), + [2465] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2187), + [2467] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_references_constraint_repeat1, 2), + [2469] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_references_constraint_repeat1, 2), SHIFT_REPEAT(2398), + [2472] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3200), + [2474] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2491), + [2476] = {.entry = {.count = 1, .reusable = true}}, SHIFT(681), + [2478] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1989), + [2480] = {.entry = {.count = 1, .reusable = true}}, SHIFT(828), + [2482] = {.entry = {.count = 1, .reusable = true}}, SHIFT(689), + [2484] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3201), + [2486] = {.entry = {.count = 1, .reusable = true}}, SHIFT(688), + [2488] = {.entry = {.count = 1, .reusable = false}}, SHIFT(688), + [2490] = {.entry = {.count = 1, .reusable = false}}, SHIFT(681), + [2492] = {.entry = {.count = 1, .reusable = true}}, SHIFT(686), + [2494] = {.entry = {.count = 1, .reusable = true}}, SHIFT(683), + [2496] = {.entry = {.count = 1, .reusable = false}}, SHIFT(683), + [2498] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_references_constraint, 3), + [2500] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_references_constraint, 7), + [2502] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_references_constraint, 6), + [2504] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2121), + [2506] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2121), + [2508] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_references_constraint, 5), + [2510] = {.entry = {.count = 1, .reusable = true}}, SHIFT(201), + [2512] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1350), + [2514] = {.entry = {.count = 1, .reusable = true}}, SHIFT(475), + [2516] = {.entry = {.count = 1, .reusable = true}}, SHIFT(336), + [2518] = {.entry = {.count = 1, .reusable = true}}, SHIFT(368), + [2520] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_create_function_statement_repeat1, 2), + [2522] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_create_function_statement_repeat1, 2), SHIFT_REPEAT(2316), + [2525] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_create_function_statement_repeat1, 2), SHIFT_REPEAT(2981), + [2528] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_create_function_statement_repeat1, 2), SHIFT_REPEAT(1679), + [2531] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_create_function_statement_repeat1, 2), SHIFT_REPEAT(1686), + [2534] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_create_function_statement_repeat1, 2), SHIFT_REPEAT(2984), + [2537] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_create_function_statement_repeat1, 2), SHIFT_REPEAT(1676), + [2540] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_create_function_statement_repeat1, 2), SHIFT_REPEAT(2174), + [2543] = {.entry = {.count = 1, .reusable = true}}, SHIFT(419), + [2545] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_function_statement, 7, .production_id = 29), + [2547] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2316), + [2549] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2981), + [2551] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1679), + [2553] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1686), + [2555] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2984), + [2557] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1676), + [2559] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2174), + [2561] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_function_statement, 6, .production_id = 29), + [2563] = {.entry = {.count = 1, .reusable = true}}, SHIFT(740), + [2565] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__create_function_return_type, 1), + [2567] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2489), + [2569] = {.entry = {.count = 1, .reusable = true}}, SHIFT(64), + [2571] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1047), + [2573] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1003), + [2575] = {.entry = {.count = 1, .reusable = true}}, SHIFT(956), + [2577] = {.entry = {.count = 1, .reusable = true}}, SHIFT(130), + [2579] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2169), + [2581] = {.entry = {.count = 1, .reusable = true}}, SHIFT(640), + [2583] = {.entry = {.count = 1, .reusable = true}}, SHIFT(262), + [2585] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1516), + [2587] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1411), + [2589] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_conditional_expression_repeat1, 4), + [2591] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_function_statement, 8, .production_id = 42), + [2593] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_function_statement, 7, .production_id = 42), + [2595] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1275), + [2597] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1183), + [2599] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1013), + [2601] = {.entry = {.count = 1, .reusable = true}}, SHIFT(141), + [2603] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_setof, 2), + [2605] = {.entry = {.count = 1, .reusable = true}}, SHIFT(279), + [2607] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1418), + [2609] = {.entry = {.count = 1, .reusable = true}}, SHIFT(508), + [2611] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1265), + [2613] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1360), + [2615] = {.entry = {.count = 1, .reusable = true}}, SHIFT(164), + [2617] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1485), + [2619] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1303), + [2621] = {.entry = {.count = 1, .reusable = true}}, SHIFT(570), + [2623] = {.entry = {.count = 1, .reusable = true}}, SHIFT(542), + [2625] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_values_clause_body, 1), + [2627] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(2169), + [2630] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__select_statement, 1), + [2632] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2699), + [2634] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2688), + [2636] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2488), + [2638] = {.entry = {.count = 1, .reusable = true}}, SHIFT(922), + [2640] = {.entry = {.count = 1, .reusable = true}}, SHIFT(553), + [2642] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2647), + [2644] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2493), + [2646] = {.entry = {.count = 1, .reusable = true}}, SHIFT(592), + [2648] = {.entry = {.count = 1, .reusable = true}}, SHIFT(173), + [2650] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1427), + [2652] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1126), + [2654] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_function_parameter, 4, .production_id = 50), + [2656] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_function_parameter, 5, .production_id = 56), + [2658] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_function_parameter, 3, .production_id = 43), + [2660] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_function_parameter, 4, .production_id = 49), + [2662] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1072), + [2664] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1392), + [2666] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1517), + [2668] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1423), + [2670] = {.entry = {.count = 1, .reusable = true}}, SHIFT(499), + [2672] = {.entry = {.count = 1, .reusable = true}}, SHIFT(96), + [2674] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1426), + [2676] = {.entry = {.count = 1, .reusable = true}}, SHIFT(365), + [2678] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_on_update_action, 3, .production_id = 67), + [2680] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__select_statement, 3), + [2682] = {.entry = {.count = 1, .reusable = true}}, SHIFT(462), + [2684] = {.entry = {.count = 1, .reusable = true}}, SHIFT(361), + [2686] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1807), + [2688] = {.entry = {.count = 1, .reusable = true}}, SHIFT(166), + [2690] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1281), + [2692] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1521), + [2694] = {.entry = {.count = 1, .reusable = true}}, SHIFT(528), + [2696] = {.entry = {.count = 1, .reusable = true}}, SHIFT(200), + [2698] = {.entry = {.count = 1, .reusable = true}}, SHIFT(474), + [2700] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1343), + [2702] = {.entry = {.count = 1, .reusable = true}}, SHIFT(253), + [2704] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1305), + [2706] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1164), + [2708] = {.entry = {.count = 1, .reusable = true}}, SHIFT(959), + [2710] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1345), + [2712] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1272), + [2714] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__select_statement, 2), + [2716] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1125), + [2718] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1445), + [2720] = {.entry = {.count = 1, .reusable = true}}, SHIFT(446), + [2722] = {.entry = {.count = 1, .reusable = true}}, SHIFT(331), + [2724] = {.entry = {.count = 1, .reusable = true}}, SHIFT(212), + [2726] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1187), + [2728] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__constraint_action, 2, .production_id = 74), + [2730] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1422), + [2732] = {.entry = {.count = 1, .reusable = true}}, SHIFT(733), + [2734] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1405), + [2736] = {.entry = {.count = 1, .reusable = true}}, SHIFT(527), + [2738] = {.entry = {.count = 1, .reusable = true}}, SHIFT(586), + [2740] = {.entry = {.count = 1, .reusable = true}}, SHIFT(263), + [2742] = {.entry = {.count = 1, .reusable = true}}, SHIFT(984), + [2744] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1057), + [2746] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_on_delete_action, 3, .production_id = 68), + [2748] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1011), + [2750] = {.entry = {.count = 1, .reusable = true}}, SHIFT(261), + [2752] = {.entry = {.count = 1, .reusable = true}}, SHIFT(539), + [2754] = {.entry = {.count = 1, .reusable = true}}, SHIFT(728), + [2756] = {.entry = {.count = 1, .reusable = true}}, SHIFT(269), + [2758] = {.entry = {.count = 1, .reusable = true}}, SHIFT(155), + [2760] = {.entry = {.count = 1, .reusable = true}}, SHIFT(138), + [2762] = {.entry = {.count = 1, .reusable = true}}, SHIFT(624), + [2764] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1308), + [2766] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1419), + [2768] = {.entry = {.count = 1, .reusable = true}}, SHIFT(831), + [2770] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1024), + [2772] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2178), + [2774] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(2181), + [2777] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2181), + [2779] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_constrained_type, 2), + [2781] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(2178), + [2784] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__column_default_expression, 1), + [2786] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2205), + [2788] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_null_constraint, 2), + [2790] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_null_constraint, 1), + [2792] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_unique_constraint, 1), + [2794] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_direction_constraint, 1), + [2796] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__aliased_expression, 2), + [2798] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__aliased_expression, 3), + [2800] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_column_default, 2), + [2802] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_primary_key_constraint, 2), + [2804] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_auto_increment_constraint, 1), + [2806] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_named_constraint, 2), + [2808] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_select_clause_body_repeat1, 2), + [2810] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_select_clause_body_repeat1, 2), SHIFT_REPEAT(561), + [2813] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_null_hint, 1), + [2815] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_function_body, 2), + [2817] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_optimizer_hint, 1), + [2819] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_select_clause_body, 1), + [2821] = {.entry = {.count = 1, .reusable = true}}, SHIFT(561), + [2823] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__function_language, 2, .production_id = 51), + [2825] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym__select_statement_repeat1, 2), + [2827] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym__select_statement_repeat1, 2), SHIFT_REPEAT(2647), + [2830] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym__select_statement_repeat1, 2), SHIFT_REPEAT(2493), + [2833] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym__select_statement_repeat1, 2), SHIFT_REPEAT(592), + [2836] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_select_clause_body, 2), + [2838] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_parallel_hint, 1), + [2840] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_null_hint, 5, .production_id = 69), + [2842] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1950), + [2844] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_null_hint, 4, .production_id = 62), + [2846] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_from_clause, 2), + [2848] = {.entry = {.count = 1, .reusable = true}}, SHIFT(535), + [2850] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_from_clause, 3), + [2852] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_select_clause, 2), + [2854] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_select_clause_body_repeat1, 2), SHIFT_REPEAT(535), + [2857] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2378), + [2859] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1913), + [2861] = {.entry = {.count = 1, .reusable = true}}, SHIFT(538), + [2863] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2060), + [2865] = {.entry = {.count = 1, .reusable = true}}, SHIFT(905), + [2867] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_domain_statement, 5, .production_id = 7), + [2869] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2363), + [2871] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2196), + [2873] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_table_column_repeat1, 2), SHIFT_REPEAT(2378), + [2876] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_table_column_repeat1, 2), SHIFT_REPEAT(1913), + [2879] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_table_column_repeat1, 2), SHIFT_REPEAT(538), + [2882] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_table_column_repeat1, 2), SHIFT_REPEAT(2060), + [2885] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_table_column_repeat1, 2), SHIFT_REPEAT(905), + [2888] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_role_statement, 3, .production_id = 5), + [2890] = {.entry = {.count = 1, .reusable = false}}, REDUCE(sym_create_role_statement, 3, .production_id = 5), + [2892] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_sequence, 5, .production_id = 24), + [2894] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2006), + [2896] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2785), + [2898] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2278), + [2900] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2474), + [2902] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2472), + [2904] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2791), + [2906] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_sequence, 4, .production_id = 16), + [2908] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2022), + [2910] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__table_constraint, 1), + [2912] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2914), + [2914] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1771), + [2916] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2460), + [2918] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_create_domain_statement_repeat1, 2), + [2920] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_create_domain_statement_repeat1, 2), SHIFT_REPEAT(2363), + [2923] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_create_domain_statement_repeat1, 2), SHIFT_REPEAT(64), + [2926] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_create_domain_statement_repeat1, 2), SHIFT_REPEAT(793), + [2929] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_domain_statement, 6, .production_id = 7), + [2931] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_sequence, 2), + [2933] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2021), + [2935] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__table_constraint, 3, .production_id = 9), + [2937] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_sequence, 8, .production_id = 24), + [2939] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_sequence, 7, .production_id = 16), + [2941] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_sequence, 4), + [2943] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_sequence, 5), + [2945] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_sequence, 5, .production_id = 16), + [2947] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__select_statement, 4), + [2949] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(2196), + [2952] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_sequence, 6, .production_id = 24), + [2954] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_sequence, 6, .production_id = 16), + [2956] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_sequence_repeat1, 2), + [2958] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_sequence_repeat1, 2), SHIFT_REPEAT(2785), + [2961] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_sequence_repeat1, 2), SHIFT_REPEAT(2278), + [2964] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_sequence_repeat1, 2), SHIFT_REPEAT(2474), + [2967] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_sequence_repeat1, 2), SHIFT_REPEAT(2472), + [2970] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_sequence_repeat1, 2), SHIFT_REPEAT(2791), + [2973] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_sequence, 3), + [2975] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_sequence, 7, .production_id = 24), + [2977] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_table_constraint_primary_key, 5), + [2979] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_table_constraint_exclude, 5), + [2981] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(2148), + [2984] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_table_constraint_unique, 5), + [2986] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_sequence_repeat1, 3, .production_id = 26), + [2988] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_sequence_repeat1, 3, .production_id = 25), + [2990] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_table_constraint_foreign_key, 7, .production_id = 61), + [2992] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_index_statement, 8, .production_id = 45), + [2994] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2942), + [2996] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3009), + [2998] = {.entry = {.count = 1, .reusable = true}}, SHIFT(897), + [3000] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_index_statement, 7, .production_id = 32), + [3002] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_sequence_repeat1, 3, .production_id = 27), + [3004] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_table_constraint_exclude, 4), + [3006] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_table_constraint_exclude, 7), + [3008] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_index_statement, 7, .production_id = 45), + [3010] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2148), + [3012] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_order_by_clause_body, 2), + [3014] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_table_constraint_unique, 4), + [3016] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_table_constraint_primary_key, 6), + [3018] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_index_statement, 6, .production_id = 32), + [3020] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_table_constraint_foreign_key, 6, .production_id = 61), + [3022] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_table_constraint_exclude, 6), + [3024] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_group_by_clause_body_repeat1, 2), SHIFT_REPEAT(908), + [3027] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__table_constraint, 4, .production_id = 9), + [3029] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_set_clause_body, 2), + [3031] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2093), + [3033] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_group_by_clause_body_repeat1, 2), SHIFT_REPEAT(901), + [3036] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_order_by_clause, 3), + [3038] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1787), + [3040] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__update_statement, 3), + [3042] = {.entry = {.count = 1, .reusable = true}}, SHIFT(548), + [3044] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2052), + [3046] = {.entry = {.count = 1, .reusable = false}}, SHIFT(843), + [3048] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2477), + [3050] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2878), + [3052] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2881), + [3054] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2882), + [3056] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2237), + [3058] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3192), + [3060] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3193), + [3062] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_set_clause_body_repeat1, 2), + [3064] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_set_clause_body_repeat1, 2), SHIFT_REPEAT(2093), + [3067] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_group_by_clause_body, 2), + [3069] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_set_clause_body, 1), + [3071] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__select_statement, 5), + [3073] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__table_constraint, 2), + [3075] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_select_clause_body_repeat1, 2), SHIFT_REPEAT(585), + [3078] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2895), + [3080] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2896), + [3082] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2480), + [3084] = {.entry = {.count = 1, .reusable = true}}, SHIFT(907), + [3086] = {.entry = {.count = 1, .reusable = true}}, SHIFT(559), + [3088] = {.entry = {.count = 1, .reusable = true}}, SHIFT(531), + [3090] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_set_clause, 2), + [3092] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_mode, 1, .production_id = 22), + [3094] = {.entry = {.count = 1, .reusable = true}}, SHIFT(585), + [3096] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_mode, 2, .production_id = 41), + [3098] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_group_by_clause, 3, .production_id = 15), + [3100] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_index_statement, 8, .production_id = 32), + [3102] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_index_statement, 9, .production_id = 45), + [3104] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_begin_statement, 1), + [3106] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1906), + [3108] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_limit_clause, 2), + [3110] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2468), + [3112] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_commit_statement, 1), + [3114] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1890), + [3116] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_index_table_parameters, 4), + [3118] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_rollback_statement, 1), + [3120] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1845), + [3122] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_order_by_clause, 4, .production_id = 21), + [3124] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__update_statement, 4), + [3126] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_index_statement, 10, .production_id = 45), + [3128] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__table_constraint, 5, .production_id = 9), + [3130] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__select_statement, 6), + [3132] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_index_table_parameters, 3), + [3134] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_index_table_parameters, 5), + [3136] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__table_constraint, 3), + [3138] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_initial_mode, 2), + [3140] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_index_statement, 9, .production_id = 32), + [3142] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__delete_statement, 2), + [3144] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__delete_statement, 3), + [3146] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_index_with_clause, 6, .production_id = 75), + [3148] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(2193), + [3151] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2193), + [3153] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_insert_statement, 4), + [3155] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_index_include_clause, 5), + [3157] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_update_statement, 2), + [3159] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_delete_statement, 2), + [3161] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__update_statement, 5), + [3163] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_limit_clause, 4), + [3165] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_values_clause, 4), + [3167] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__select_statement, 7), + [3169] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_index_include_clause, 4), + [3171] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_select_statement, 1), + [3173] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_update_statement, 1), + [3175] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_delete_statement, 1), + [3177] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_domain_statement, 3, .production_id = 7), + [3179] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1957), + [3181] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_select_statement, 2), + [3183] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_grant_statement, 8, .production_id = 46), + [3185] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_index_statement, 10, .production_id = 32), + [3187] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_table_parameters, 4, .production_id = 38), + [3189] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_alter_table_action, 1), + [3191] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_alter_table, 3), + [3193] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_table_parameters, 3, .production_id = 18), + [3195] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_table_statement, 5), + [3197] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_rollback_statement, 2), + [3199] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_table_statement, 7, .production_id = 37), + [3201] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_grant_statement, 7), + [3203] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_grant_statement, 7, .production_id = 46), + [3205] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_drop_statement, 3), + [3207] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_alter_statement, 2), + [3209] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_role_statement, 4, .production_id = 5), + [3211] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_statement, 2), + [3213] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_grant_statement, 7, .production_id = 12), + [3215] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_role_statement, 5, .production_id = 10), + [3217] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_grant_statement, 12, .production_id = 76), + [3219] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1934), + [3221] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1914), + [3223] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3246), + [3225] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3247), + [3227] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_type_statement, 5, .production_id = 17), + [3229] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_statement, 3), + [3231] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_grant_statement, 11, .production_id = 72), + [3233] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_extension_statement, 3, .production_id = 4), + [3235] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_grant_statement, 11, .production_id = 71), + [3237] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_parameters, 3), + [3239] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_alter_table, 4), + [3241] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_alter_table_rename_column, 4), + [3243] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_alter_statement, 2, .production_id = 2), + [3245] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_table_statement, 9, .production_id = 48), + [3247] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_pg_command, 2), + [3249] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_alter_table_action_add, 2, .production_id = 18), + [3251] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_alter_table, 6), + [3253] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_grant_statement, 11, .production_id = 70), + [3255] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_table_parameters, 4, .production_id = 40), + [3257] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_drop_statement, 5), + [3259] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_grant_statement, 6), + [3261] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_grant_statement, 6, .production_id = 12), + [3263] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_alter_table_action_alter_column, 6, .production_id = 57), + [3265] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_table_statement, 6), + [3267] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_table_statement, 8, .production_id = 48), + [3269] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_alter_table_action_add, 3), + [3271] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_table_statement, 8, .production_id = 37), + [3273] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_alter_table, 5), + [3275] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_grant_statement, 5), + [3277] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_index_statement, 11, .production_id = 45), + [3279] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_table_statement, 4), + [3281] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_schema_statement, 3, .production_id = 6), + [3283] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_grant_statement, 8), + [3285] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_grant_statement, 8, .production_id = 54), + [3287] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_commit_statement, 2), + [3289] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_grant_statement, 10, .production_id = 65), + [3291] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_grant_statement, 8, .production_id = 53), + [3293] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_schema_statement, 6, .production_id = 31), + [3295] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_extension_statement, 6, .production_id = 30), + [3297] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_grant_statement, 10, .production_id = 64), + [3299] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_grant_statement, 10, .production_id = 63), + [3301] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_parameters, 4), + [3303] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_table_parameters, 3), + [3305] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__statement, 1), + [3307] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1912), + [3309] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_grant_statement, 9, .production_id = 58), + [3311] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_grant_statement, 9, .production_id = 54), + [3313] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_begin_statement, 2), + [3315] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_grant_statement, 9, .production_id = 59), + [3317] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_alter_table_rename_column, 5), + [3319] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2406), + [3321] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2301), + [3323] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2007), + [3325] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2001), + [3327] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3118), + [3329] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2057), + [3331] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2025), + [3333] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2058), + [3335] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2008), + [3337] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2061), + [3339] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2063), + [3341] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2065), + [3343] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym__statement, 2), + [3345] = {.entry = {.count = 1, .reusable = true}}, SHIFT(727), + [3347] = {.entry = {.count = 1, .reusable = true}}, SHIFT(24), + [3349] = {.entry = {.count = 1, .reusable = true}}, SHIFT(930), + [3351] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1937), + [3353] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1137), + [3355] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3219), + [3357] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3220), + [3359] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2884), + [3361] = {.entry = {.count = 1, .reusable = true}}, SHIFT(127), + [3363] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2158), + [3365] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2466), + [3367] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1955), + [3369] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym__select_statement_repeat1, 2), SHIFT_REPEAT(531), + [3372] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_select_clause_body_repeat1, 2), SHIFT_REPEAT(533), + [3375] = {.entry = {.count = 1, .reusable = true}}, SHIFT(533), + [3377] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1992), + [3379] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2037), + [3381] = {.entry = {.count = 1, .reusable = true}}, SHIFT(858), + [3383] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2477), + [3385] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2878), + [3387] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2881), + [3389] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2882), + [3391] = {.entry = {.count = 1, .reusable = true}}, SHIFT(113), + [3393] = {.entry = {.count = 1, .reusable = true}}, SHIFT(543), + [3395] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_select_clause_body_repeat1, 2), SHIFT_REPEAT(543), + [3398] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2080), + [3400] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2048), + [3402] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2214), + [3404] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_exclude_entry, 1), + [3406] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1914), + [3408] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2067), + [3410] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1137), + [3412] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1962), + [3414] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1935), + [3416] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2443), + [3418] = {.entry = {.count = 1, .reusable = true}}, SHIFT(287), + [3420] = {.entry = {.count = 1, .reusable = true}}, SHIFT(388), + [3422] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3372), + [3424] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3373), + [3426] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1113), + [3428] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3350), + [3430] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3351), + [3432] = {.entry = {.count = 1, .reusable = true}}, SHIFT(32), + [3434] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3356), + [3436] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3357), + [3438] = {.entry = {.count = 1, .reusable = true}}, SHIFT(481), + [3440] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3366), + [3442] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3367), + [3444] = {.entry = {.count = 1, .reusable = true}}, SHIFT(843), + [3446] = {.entry = {.count = 1, .reusable = true}}, SHIFT(153), + [3448] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3370), + [3450] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3371), + [3452] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1088), + [3454] = {.entry = {.count = 1, .reusable = true}}, SHIFT(296), + [3456] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3327), + [3458] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3328), + [3460] = {.entry = {.count = 1, .reusable = true}}, SHIFT(312), + [3462] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3344), + [3464] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3345), + [3466] = {.entry = {.count = 1, .reusable = true}}, SHIFT(15), + [3468] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3165), + [3470] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3166), + [3472] = {.entry = {.count = 1, .reusable = true}}, SHIFT(442), + [3474] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3358), + [3476] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3359), + [3478] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1078), + [3480] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3376), + [3482] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3377), + [3484] = {.entry = {.count = 1, .reusable = true}}, SHIFT(629), + [3486] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1105), + [3488] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1965), + [3490] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1932), + [3492] = {.entry = {.count = 1, .reusable = true}}, SHIFT(30), + [3494] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3346), + [3496] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3347), + [3498] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1084), + [3500] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3374), + [3502] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3375), + [3504] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_grant_statement_repeat1, 2), SHIFT_REPEAT(1965), + [3507] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_grant_statement_repeat1, 2), + [3509] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2833), + [3511] = {.entry = {.count = 1, .reusable = true}}, SHIFT(866), + [3513] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1925), + [3515] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2027), + [3517] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2018), + [3519] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1188), + [3521] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2788), + [3523] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1189), + [3525] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1190), + [3527] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2698), + [3529] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2055), + [3531] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2012), + [3533] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1338), + [3535] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2706), + [3537] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1337), + [3539] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1336), + [3541] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1996), + [3543] = {.entry = {.count = 1, .reusable = true}}, SHIFT(994), + [3545] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2922), + [3547] = {.entry = {.count = 1, .reusable = true}}, SHIFT(995), + [3549] = {.entry = {.count = 1, .reusable = true}}, SHIFT(997), + [3551] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2015), + [3553] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1508), + [3555] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2511), + [3557] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1507), + [3559] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1506), + [3561] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2019), + [3563] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3114), + [3565] = {.entry = {.count = 1, .reusable = true}}, SHIFT(276), + [3567] = {.entry = {.count = 1, .reusable = true}}, SHIFT(275), + [3569] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2014), + [3571] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1462), + [3573] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3303), + [3575] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1461), + [3577] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1460), + [3579] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2000), + [3581] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1040), + [3583] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2546), + [3585] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1071), + [3587] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1069), + [3589] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2011), + [3591] = {.entry = {.count = 1, .reusable = true}}, SHIFT(217), + [3593] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3090), + [3595] = {.entry = {.count = 1, .reusable = true}}, SHIFT(218), + [3597] = {.entry = {.count = 1, .reusable = true}}, SHIFT(219), + [3599] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2009), + [3601] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2515), + [3603] = {.entry = {.count = 1, .reusable = true}}, SHIFT(564), + [3605] = {.entry = {.count = 1, .reusable = true}}, SHIFT(565), + [3607] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1998), + [3609] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1299), + [3611] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2747), + [3613] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1298), + [3615] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1297), + [3617] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_function_parameter, 2, .production_id = 28), + [3619] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2458), + [3621] = {.entry = {.count = 1, .reusable = true}}, SHIFT(91), + [3623] = {.entry = {.count = 1, .reusable = true}}, SHIFT(765), + [3625] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2024), + [3627] = {.entry = {.count = 1, .reusable = true}}, SHIFT(692), + [3629] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2665), + [3631] = {.entry = {.count = 1, .reusable = true}}, SHIFT(693), + [3633] = {.entry = {.count = 1, .reusable = true}}, SHIFT(694), + [3635] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1994), + [3637] = {.entry = {.count = 1, .reusable = true}}, SHIFT(374), + [3639] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2585), + [3641] = {.entry = {.count = 1, .reusable = true}}, SHIFT(370), + [3643] = {.entry = {.count = 1, .reusable = true}}, SHIFT(369), + [3645] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_function_parameter, 2), + [3647] = {.entry = {.count = 1, .reusable = true}}, SHIFT(762), + [3649] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2017), + [3651] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2851), + [3653] = {.entry = {.count = 1, .reusable = true}}, SHIFT(211), + [3655] = {.entry = {.count = 1, .reusable = true}}, SHIFT(210), + [3657] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2003), + [3659] = {.entry = {.count = 1, .reusable = true}}, SHIFT(476), + [3661] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2595), + [3663] = {.entry = {.count = 1, .reusable = true}}, SHIFT(478), + [3665] = {.entry = {.count = 1, .reusable = true}}, SHIFT(484), + [3667] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_function_parameter, 3, .production_id = 28), + [3669] = {.entry = {.count = 1, .reusable = true}}, SHIFT(715), + [3671] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_function_parameter, 1), + [3673] = {.entry = {.count = 1, .reusable = true}}, SHIFT(802), + [3675] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2023), + [3677] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1546), + [3679] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2624), + [3681] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1545), + [3683] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1544), + [3685] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1995), + [3687] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2708), + [3689] = {.entry = {.count = 1, .reusable = true}}, SHIFT(537), + [3691] = {.entry = {.count = 1, .reusable = true}}, SHIFT(536), + [3693] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_parameter, 2), + [3695] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2237), + [3697] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2780), + [3699] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2073), + [3701] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2179), + [3703] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2503), + [3705] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2777), + [3707] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2044), + [3709] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3362), + [3711] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3363), + [3713] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2939), + [3715] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2622), + [3717] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(2179), + [3720] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2703), + [3722] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2548), + [3724] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2075), + [3726] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2202), + [3728] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1849), + [3730] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2105), + [3732] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2195), + [3734] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2199), + [3736] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1884), + [3738] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1766), + [3740] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2943), + [3742] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2944), + [3744] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2197), + [3746] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1850), + [3748] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2131), + [3750] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1889), + [3752] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2135), + [3754] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1877), + [3756] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2116), + [3758] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1876), + [3760] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(2205), + [3763] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_group_by_clause_body_repeat1, 2), SHIFT_REPEAT(824), + [3766] = {.entry = {.count = 1, .reusable = true}}, SHIFT(301), + [3768] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2013), + [3770] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2013), + [3772] = {.entry = {.count = 1, .reusable = true}}, SHIFT(236), + [3774] = {.entry = {.count = 1, .reusable = true}}, SHIFT(45), + [3776] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2108), + [3778] = {.entry = {.count = 1, .reusable = true}}, SHIFT(72), + [3780] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1536), + [3782] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2094), + [3784] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_with_clause, 3, .production_id = 1), + [3786] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_ordered_expression, 2, .production_id = 52), + [3788] = {.entry = {.count = 1, .reusable = true}}, SHIFT(33), + [3790] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1459), + [3792] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_set_clause_body_repeat1, 2), SHIFT_REPEAT(2108), + [3795] = {.entry = {.count = 1, .reusable = true}}, SHIFT(220), + [3797] = {.entry = {.count = 1, .reusable = true}}, SHIFT(549), + [3799] = {.entry = {.count = 1, .reusable = true}}, SHIFT(848), + [3801] = {.entry = {.count = 1, .reusable = true}}, SHIFT(509), + [3803] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2136), + [3805] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1209), + [3807] = {.entry = {.count = 1, .reusable = true}}, SHIFT(486), + [3809] = {.entry = {.count = 1, .reusable = true}}, SHIFT(987), + [3811] = {.entry = {.count = 1, .reusable = true}}, SHIFT(910), + [3813] = {.entry = {.count = 1, .reusable = true}}, SHIFT(534), + [3815] = {.entry = {.count = 1, .reusable = true}}, SHIFT(513), + [3817] = {.entry = {.count = 1, .reusable = true}}, SHIFT(436), + [3819] = {.entry = {.count = 1, .reusable = true}}, SHIFT(373), + [3821] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1110), + [3823] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1349), + [3825] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_with_clause, 2, .production_id = 1), + [3827] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_with_clause, 4, .production_id = 1), + [3829] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1075), + [3831] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_with_clause_repeat1, 2), SHIFT_REPEAT(2094), + [3834] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_with_clause_repeat1, 2), + [3836] = {.entry = {.count = 1, .reusable = true}}, SHIFT(375), + [3838] = {.entry = {.count = 1, .reusable = true}}, SHIFT(225), + [3840] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1346), + [3842] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_dotted_name_repeat1, 2), SHIFT_REPEAT(2136), + [3845] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1112), + [3847] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1483), + [3849] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_group_by_clause_body_repeat1, 2), SHIFT_REPEAT(911), + [3852] = {.entry = {.count = 1, .reusable = true}}, SHIFT(504), + [3854] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1169), + [3856] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_cte, 5), + [3858] = {.entry = {.count = 1, .reusable = true}}, SHIFT(631), + [3860] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2129), + [3862] = {.entry = {.count = 1, .reusable = true}}, SHIFT(895), + [3864] = {.entry = {.count = 1, .reusable = true}}, SHIFT(763), + [3866] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1205), + [3868] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_cte, 7), + [3870] = {.entry = {.count = 1, .reusable = true}}, SHIFT(937), + [3872] = {.entry = {.count = 1, .reusable = true}}, SHIFT(545), + [3874] = {.entry = {.count = 1, .reusable = true}}, SHIFT(952), + [3876] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1475), + [3878] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_conditional_expression_repeat1, 2), SHIFT_REPEAT(895), + [3881] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_conditional_expression_repeat1, 2), + [3883] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2425), + [3885] = {.entry = {.count = 1, .reusable = true}}, SHIFT(551), + [3887] = {.entry = {.count = 1, .reusable = true}}, SHIFT(861), + [3889] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1534), + [3891] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3378), + [3893] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1643), + [3895] = {.entry = {.count = 1, .reusable = true}}, SHIFT(800), + [3897] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1324), + [3899] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1968), + [3901] = {.entry = {.count = 1, .reusable = true}}, SHIFT(949), + [3903] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1020), + [3905] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1609), + [3907] = {.entry = {.count = 1, .reusable = true}}, SHIFT(812), + [3909] = {.entry = {.count = 1, .reusable = true}}, SHIFT(711), + [3911] = {.entry = {.count = 1, .reusable = true}}, SHIFT(878), + [3913] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1042), + [3915] = {.entry = {.count = 1, .reusable = true}}, SHIFT(933), + [3917] = {.entry = {.count = 1, .reusable = true}}, SHIFT(458), + [3919] = {.entry = {.count = 1, .reusable = true}}, SHIFT(940), + [3921] = {.entry = {.count = 1, .reusable = true}}, SHIFT(234), + [3923] = {.entry = {.count = 1, .reusable = true}}, SHIFT(928), + [3925] = {.entry = {.count = 1, .reusable = true}}, SHIFT(606), + [3927] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_cte, 6), + [3929] = {.entry = {.count = 1, .reusable = true}}, SHIFT(788), + [3931] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1286), + [3933] = {.entry = {.count = 1, .reusable = true}}, SHIFT(874), + [3935] = {.entry = {.count = 1, .reusable = true}}, SHIFT(348), + [3937] = {.entry = {.count = 1, .reusable = true}}, SHIFT(946), + [3939] = {.entry = {.count = 1, .reusable = true}}, SHIFT(238), + [3941] = {.entry = {.count = 1, .reusable = true}}, SHIFT(860), + [3943] = {.entry = {.count = 1, .reusable = true}}, SHIFT(214), + [3945] = {.entry = {.count = 1, .reusable = true}}, SHIFT(943), + [3947] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1443), + [3949] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_select_clause_body_repeat1, 2), SHIFT_REPEAT(551), + [3952] = {.entry = {.count = 1, .reusable = true}}, SHIFT(335), + [3954] = {.entry = {.count = 1, .reusable = true}}, SHIFT(992), + [3956] = {.entry = {.count = 1, .reusable = true}}, SHIFT(422), + [3958] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1353), + [3960] = {.entry = {.count = 1, .reusable = true}}, SHIFT(503), + [3962] = {.entry = {.count = 1, .reusable = true}}, SHIFT(489), + [3964] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_index_table_parameters_repeat1, 2), SHIFT_REPEAT(619), + [3967] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1757), + [3969] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1838), + [3971] = {.entry = {.count = 1, .reusable = true}}, SHIFT(364), + [3973] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1785), + [3975] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_table_constraint_exclude_repeat1, 2), SHIFT_REPEAT(2020), + [3978] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_table_constraint_exclude_repeat1, 2), + [3980] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1843), + [3982] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1055), + [3984] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_parameters_repeat1, 2), SHIFT_REPEAT(2090), + [3987] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_parameters_repeat1, 2), + [3989] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1012), + [3991] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1391), + [3993] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2020), + [3995] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1745), + [3997] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2144), + [3999] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2382), + [4001] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1177), + [4003] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2066), + [4005] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1725), + [4007] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_table_constraint_unique_repeat1, 2), SHIFT_REPEAT(2066), + [4010] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_table_constraint_unique_repeat1, 2), + [4012] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1728), + [4014] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1742), + [4016] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2401), + [4018] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_op_class, 1), + [4020] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1726), + [4022] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1144), + [4024] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1799), + [4026] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1155), + [4028] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2465), + [4030] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1740), + [4032] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1433), + [4034] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1486), + [4036] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1510), + [4038] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1513), + [4040] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1412), + [4042] = {.entry = {.count = 1, .reusable = true}}, SHIFT(965), + [4044] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1822), + [4046] = {.entry = {.count = 1, .reusable = true}}, SHIFT(433), + [4048] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2088), + [4050] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1761), + [4052] = {.entry = {.count = 1, .reusable = true}}, SHIFT(140), + [4054] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1736), + [4056] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2099), + [4058] = {.entry = {.count = 1, .reusable = true}}, SHIFT(655), + [4060] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_create_index_include_clause_repeat1, 2), SHIFT_REPEAT(2144), + [4063] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_create_index_include_clause_repeat1, 2), + [4065] = {.entry = {.count = 1, .reusable = true}}, SHIFT(650), + [4067] = {.entry = {.count = 1, .reusable = true}}, SHIFT(584), + [4069] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1549), + [4071] = {.entry = {.count = 1, .reusable = true}}, SHIFT(593), + [4073] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1993), + [4075] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1025), + [4077] = {.entry = {.count = 1, .reusable = true}}, SHIFT(744), + [4079] = {.entry = {.count = 1, .reusable = true}}, SHIFT(955), + [4081] = {.entry = {.count = 1, .reusable = true}}, SHIFT(179), + [4083] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1812), + [4085] = {.entry = {.count = 1, .reusable = true}}, SHIFT(103), + [4087] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2090), + [4089] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1898), + [4091] = {.entry = {.count = 1, .reusable = true}}, SHIFT(102), + [4093] = {.entry = {.count = 1, .reusable = true}}, SHIFT(516), + [4095] = {.entry = {.count = 1, .reusable = true}}, SHIFT(132), + [4097] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_create_function_parameters_repeat1, 2), SHIFT_REPEAT(1905), + [4100] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_create_function_parameters_repeat1, 2), + [4102] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1537), + [4104] = {.entry = {.count = 2, .reusable = true}}, REDUCE(aux_sym_table_parameters_repeat1, 2, .production_id = 39), SHIFT_REPEAT(1757), + [4107] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_table_parameters_repeat1, 2, .production_id = 39), + [4109] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2110), + [4111] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1905), + [4113] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2916), + [4115] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1741), + [4117] = {.entry = {.count = 1, .reusable = true}}, SHIFT(274), + [4119] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1264), + [4121] = {.entry = {.count = 1, .reusable = true}}, SHIFT(168), + [4123] = {.entry = {.count = 1, .reusable = true}}, SHIFT(7), + [4125] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2364), + [4127] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1276), + [4129] = {.entry = {.count = 1, .reusable = true}}, SHIFT(163), + [4131] = {.entry = {.count = 1, .reusable = true}}, SHIFT(139), + [4133] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1735), + [4135] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1323), + [4137] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2096), + [4139] = {.entry = {.count = 1, .reusable = true}}, SHIFT(259), + [4141] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_values_clause_body, 2), + [4143] = {.entry = {.count = 1, .reusable = true}}, SHIFT(187), + [4145] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1900), + [4147] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1467), + [4149] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2229), + [4151] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_exclude_entry, 2), + [4153] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1413), + [4155] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1400), + [4157] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1864), + [4159] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1229), + [4161] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1259), + [4163] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2771), + [4165] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2776), + [4167] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1919), + [4169] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2980), + [4171] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1874), + [4173] = {.entry = {.count = 1, .reusable = true}}, SHIFT(938), + [4175] = {.entry = {.count = 1, .reusable = true}}, SHIFT(944), + [4177] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2041), + [4179] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_exclude_entry, 4, .production_id = 55), + [4181] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_index_table_parameters_repeat1, 3), + [4183] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2222), + [4185] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2217), + [4187] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_exclude_entry, 3, .production_id = 25), + [4189] = {.entry = {.count = 1, .reusable = true}}, SHIFT(947), + [4191] = {.entry = {.count = 1, .reusable = true}}, SHIFT(941), + [4193] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_binary_operator, 1), + [4195] = {.entry = {.count = 1, .reusable = true}}, SHIFT(670), + [4197] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2729), + [4199] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_table_parameters_repeat1, 2, .production_id = 18), + [4201] = {.entry = {.count = 1, .reusable = true}}, REDUCE(aux_sym_table_parameters_repeat1, 2), + [4203] = {.entry = {.count = 1, .reusable = true}}, SHIFT(950), + [4205] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1801), + [4207] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2028), + [4209] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2032), + [4211] = {.entry = {.count = 1, .reusable = true}}, SHIFT(853), + [4213] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2084), + [4215] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1858), + [4217] = {.entry = {.count = 1, .reusable = true}}, SHIFT(900), + [4219] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1748), + [4221] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2004), + [4223] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2043), + [4225] = {.entry = {.count = 1, .reusable = true}}, SHIFT(777), + [4227] = {.entry = {.count = 1, .reusable = true}}, SHIFT(929), + [4229] = {.entry = {.count = 1, .reusable = true}}, SHIFT(797), + [4231] = {.entry = {.count = 1, .reusable = true}}, SHIFT(645), + [4233] = {.entry = {.count = 1, .reusable = true}}, SHIFT(806), + [4235] = {.entry = {.count = 1, .reusable = true}}, SHIFT(852), + [4237] = {.entry = {.count = 1, .reusable = true}}, SHIFT(864), + [4239] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2770), + [4241] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_join_type, 1), + [4243] = {.entry = {.count = 1, .reusable = true}}, SHIFT(903), + [4245] = {.entry = {.count = 1, .reusable = true}}, SHIFT(934), + [4247] = {.entry = {.count = 1, .reusable = true}}, SHIFT(877), + [4249] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2782), + [4251] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2076), + [4253] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1090), + [4255] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2590), + [4257] = {.entry = {.count = 1, .reusable = true}}, SHIFT(416), + [4259] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1463), + [4261] = {.entry = {.count = 1, .reusable = true}}, SHIFT(415), + [4263] = {.entry = {.count = 1, .reusable = true}}, SHIFT(390), + [4265] = {.entry = {.count = 1, .reusable = true}}, SHIFT(811), + [4267] = {.entry = {.count = 1, .reusable = true}}, SHIFT(808), + [4269] = {.entry = {.count = 1, .reusable = true}}, SHIFT(418), + [4271] = {.entry = {.count = 1, .reusable = true}}, SHIFT(844), + [4273] = {.entry = {.count = 1, .reusable = true}}, SHIFT(847), + [4275] = {.entry = {.count = 1, .reusable = true}}, SHIFT(966), + [4277] = {.entry = {.count = 1, .reusable = true}}, SHIFT(649), + [4279] = {.entry = {.count = 1, .reusable = true}}, SHIFT(967), + [4281] = {.entry = {.count = 1, .reusable = true}}, SHIFT(980), + [4283] = {.entry = {.count = 1, .reusable = true}}, SHIFT(603), + [4285] = {.entry = {.count = 1, .reusable = true}}, SHIFT(968), + [4287] = {.entry = {.count = 1, .reusable = true}}, SHIFT(641), + [4289] = {.entry = {.count = 1, .reusable = true}}, SHIFT(969), + [4291] = {.entry = {.count = 1, .reusable = true}}, SHIFT(970), + [4293] = {.entry = {.count = 1, .reusable = true}}, SHIFT(637), + [4295] = {.entry = {.count = 1, .reusable = true}}, SHIFT(971), + [4297] = {.entry = {.count = 1, .reusable = true}}, SHIFT(972), + [4299] = {.entry = {.count = 1, .reusable = true}}, SHIFT(973), + [4301] = {.entry = {.count = 1, .reusable = true}}, SHIFT(974), + [4303] = {.entry = {.count = 1, .reusable = true}}, SHIFT(975), + [4305] = {.entry = {.count = 1, .reusable = true}}, SHIFT(976), + [4307] = {.entry = {.count = 1, .reusable = true}}, SHIFT(977), + [4309] = {.entry = {.count = 1, .reusable = true}}, SHIFT(87), + [4311] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1001), + [4313] = {.entry = {.count = 1, .reusable = true}}, SHIFT(519), + [4315] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1060), + [4317] = {.entry = {.count = 1, .reusable = true}}, SHIFT(550), + [4319] = {.entry = {.count = 1, .reusable = true}}, SHIFT(986), + [4321] = {.entry = {.count = 1, .reusable = true}}, SHIFT(288), + [4323] = {.entry = {.count = 1, .reusable = true}}, SHIFT(498), + [4325] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1041), + [4327] = {.entry = {.count = 1, .reusable = true}}, SHIFT(846), + [4329] = {.entry = {.count = 1, .reusable = true}}, SHIFT(845), + [4331] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2798), + [4333] = {.entry = {.count = 1, .reusable = true}}, SHIFT(523), + [4335] = {.entry = {.count = 1, .reusable = true}}, SHIFT(520), + [4337] = {.entry = {.count = 1, .reusable = true}}, SHIFT(496), + [4339] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1370), + [4341] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1232), + [4343] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1367), + [4345] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1393), + [4347] = {.entry = {.count = 1, .reusable = true}}, SHIFT(511), + [4349] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1366), + [4351] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1249), + [4353] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1365), + [4355] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1364), + [4357] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1256), + [4359] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1362), + [4361] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1361), + [4363] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1410), + [4365] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1379), + [4367] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1382), + [4369] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1384), + [4371] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1390), + [4373] = {.entry = {.count = 1, .reusable = true}}, SHIFT(492), + [4375] = {.entry = {.count = 1, .reusable = true}}, SHIFT(524), + [4377] = {.entry = {.count = 1, .reusable = true}}, SHIFT(315), + [4379] = {.entry = {.count = 1, .reusable = true}}, SHIFT(22), + [4381] = {.entry = {.count = 1, .reusable = true}}, SHIFT(340), + [4383] = {.entry = {.count = 1, .reusable = true}}, SHIFT(522), + [4385] = {.entry = {.count = 1, .reusable = true}}, SHIFT(420), + [4387] = {.entry = {.count = 1, .reusable = true}}, SHIFT(308), + [4389] = {.entry = {.count = 1, .reusable = true}}, SHIFT(491), + [4391] = {.entry = {.count = 1, .reusable = true}}, SHIFT(525), + [4393] = {.entry = {.count = 1, .reusable = true}}, SHIFT(417), + [4395] = {.entry = {.count = 1, .reusable = true}}, SHIFT(360), + [4397] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1764), + [4399] = {.entry = {.count = 1, .reusable = true}}, SHIFT(495), + [4401] = {.entry = {.count = 1, .reusable = true}}, SHIFT(704), + [4403] = {.entry = {.count = 1, .reusable = true}}, SHIFT(703), + [4405] = {.entry = {.count = 1, .reusable = true}}, SHIFT(506), + [4407] = {.entry = {.count = 1, .reusable = true}}, SHIFT(443), + [4409] = {.entry = {.count = 1, .reusable = true}}, SHIFT(526), + [4411] = {.entry = {.count = 1, .reusable = true}}, SHIFT(920), + [4413] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1031), + [4415] = {.entry = {.count = 1, .reusable = true}}, SHIFT(691), + [4417] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1032), + [4419] = {.entry = {.count = 1, .reusable = true}}, SHIFT(993), + [4421] = {.entry = {.count = 1, .reusable = true}}, SHIFT(919), + [4423] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1033), + [4425] = {.entry = {.count = 1, .reusable = true}}, SHIFT(687), + [4427] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1034), + [4429] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1035), + [4431] = {.entry = {.count = 1, .reusable = true}}, SHIFT(684), + [4433] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1036), + [4435] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1037), + [4437] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1038), + [4439] = {.entry = {.count = 1, .reusable = true}}, SHIFT(982), + [4441] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1008), + [4443] = {.entry = {.count = 1, .reusable = true}}, SHIFT(981), + [4445] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1009), + [4447] = {.entry = {.count = 1, .reusable = true}}, SHIFT(470), + [4449] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1449), + [4451] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2233), + [4453] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1535), + [4455] = {.entry = {.count = 1, .reusable = true}}, SHIFT(413), + [4457] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1456), + [4459] = {.entry = {.count = 1, .reusable = true}}, SHIFT(459), + [4461] = {.entry = {.count = 1, .reusable = true}}, SHIFT(110), + [4463] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1394), + [4465] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1522), + [4467] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2813), + [4469] = {.entry = {.count = 1, .reusable = true}}, SHIFT(207), + [4471] = {.entry = {.count = 1, .reusable = true}}, SHIFT(679), + [4473] = {.entry = {.count = 1, .reusable = true}}, SHIFT(682), + [4475] = {.entry = {.count = 1, .reusable = true}}, SHIFT(429), + [4477] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2470), + [4479] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1488), + [4481] = {.entry = {.count = 1, .reusable = true}}, SHIFT(327), + [4483] = {.entry = {.count = 1, .reusable = true}}, SHIFT(303), + [4485] = {.entry = {.count = 1, .reusable = true}}, SHIFT(320), + [4487] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1438), + [4489] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1489), + [4491] = {.entry = {.count = 1, .reusable = true}}, SHIFT(319), + [4493] = {.entry = {.count = 1, .reusable = true}}, SHIFT(307), + [4495] = {.entry = {.count = 1, .reusable = true}}, SHIFT(314), + [4497] = {.entry = {.count = 1, .reusable = true}}, SHIFT(311), + [4499] = {.entry = {.count = 1, .reusable = true}}, SHIFT(298), + [4501] = {.entry = {.count = 1, .reusable = true}}, SHIFT(316), + [4503] = {.entry = {.count = 1, .reusable = true}}, SHIFT(317), + [4505] = {.entry = {.count = 1, .reusable = true}}, SHIFT(323), + [4507] = {.entry = {.count = 1, .reusable = true}}, SHIFT(324), + [4509] = {.entry = {.count = 1, .reusable = true}}, SHIFT(325), + [4511] = {.entry = {.count = 1, .reusable = true}}, SHIFT(329), + [4513] = {.entry = {.count = 1, .reusable = true}}, SHIFT(330), + [4515] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1490), + [4517] = {.entry = {.count = 1, .reusable = true}}, SHIFT(623), + [4519] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1138), + [4521] = {.entry = {.count = 1, .reusable = true}}, SHIFT(710), + [4523] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1491), + [4525] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1492), + [4527] = {.entry = {.count = 1, .reusable = true}}, SHIFT(626), + [4529] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1493), + [4531] = {.entry = {.count = 1, .reusable = true}}, SHIFT(408), + [4533] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1494), + [4535] = {.entry = {.count = 1, .reusable = true}}, SHIFT(434), + [4537] = {.entry = {.count = 1, .reusable = true}}, SHIFT(724), + [4539] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1378), + [4541] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1496), + [4543] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1484), + [4545] = {.entry = {.count = 1, .reusable = true}}, SHIFT(731), + [4547] = {.entry = {.count = 1, .reusable = true}}, SHIFT(732), + [4549] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2062), + [4551] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1497), + [4553] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1359), + [4555] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1498), + [4557] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1469), + [4559] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1342), + [4561] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1470), + [4563] = {.entry = {.count = 1, .reusable = true}}, SHIFT(633), + [4565] = {.entry = {.count = 1, .reusable = true}}, SHIFT(122), + [4567] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1471), + [4569] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1340), + [4571] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1473), + [4573] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1474), + [4575] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1339), + [4577] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1476), + [4579] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1477), + [4581] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1478), + [4583] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1479), + [4585] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1480), + [4587] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1481), + [4589] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1482), + [4591] = {.entry = {.count = 1, .reusable = true}}, SHIFT(647), + [4593] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1499), + [4595] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1270), + [4597] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1910), + [4599] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1326), + [4601] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1377), + [4603] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1501), + [4605] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1258), + [4607] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1997), + [4609] = {.entry = {.count = 1, .reusable = true}}, SHIFT(674), + [4611] = {.entry = {.count = 1, .reusable = true}}, SHIFT(23), + [4613] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1311), + [4615] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2051), + [4617] = {.entry = {.count = 1, .reusable = true}}, SHIFT(826), + [4619] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1380), + [4621] = {.entry = {.count = 1, .reusable = true}}, SHIFT(766), + [4623] = {.entry = {.count = 1, .reusable = true}}, SHIFT(767), + [4625] = {.entry = {.count = 1, .reusable = true}}, SHIFT(825), + [4627] = {.entry = {.count = 1, .reusable = true}}, SHIFT(435), + [4629] = {.entry = {.count = 1, .reusable = true}}, SHIFT(562), + [4631] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2049), + [4633] = {.entry = {.count = 1, .reusable = true}}, SHIFT(632), + [4635] = {.entry = {.count = 1, .reusable = true}}, SHIFT(583), + [4637] = {.entry = {.count = 1, .reusable = true}}, SHIFT(616), + [4639] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1257), + [4641] = {.entry = {.count = 1, .reusable = true}}, SHIFT(406), + [4643] = {.entry = {.count = 1, .reusable = true}}, SHIFT(617), + [4645] = {.entry = {.count = 1, .reusable = true}}, SHIFT(612), + [4647] = {.entry = {.count = 1, .reusable = true}}, SHIFT(634), + [4649] = {.entry = {.count = 1, .reusable = true}}, SHIFT(635), + [4651] = {.entry = {.count = 1, .reusable = true}}, SHIFT(597), + [4653] = {.entry = {.count = 1, .reusable = true}}, SHIFT(638), + [4655] = {.entry = {.count = 1, .reusable = true}}, SHIFT(644), + [4657] = {.entry = {.count = 1, .reusable = true}}, SHIFT(661), + [4659] = {.entry = {.count = 1, .reusable = true}}, SHIFT(653), + [4661] = {.entry = {.count = 1, .reusable = true}}, SHIFT(664), + [4663] = {.entry = {.count = 1, .reusable = true}}, SHIFT(646), + [4665] = {.entry = {.count = 1, .reusable = true}}, SHIFT(672), + [4667] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1931), + [4669] = {.entry = {.count = 1, .reusable = true}}, SHIFT(497), + [4671] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1280), + [4673] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1089), + [4675] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1287), + [4677] = {.entry = {.count = 1, .reusable = true}}, SHIFT(613), + [4679] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1230), + [4681] = {.entry = {.count = 1, .reusable = true}}, SHIFT(25), + [4683] = {.entry = {.count = 1, .reusable = true}}, SHIFT(439), + [4685] = {.entry = {.count = 1, .reusable = true}}, SHIFT(192), + [4687] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1341), + [4689] = {.entry = {.count = 1, .reusable = true}}, SHIFT(502), + [4691] = {.entry = {.count = 1, .reusable = true}}, SHIFT(203), + [4693] = {.entry = {.count = 1, .reusable = true}}, SHIFT(414), + [4695] = {.entry = {.count = 1, .reusable = true}}, SHIFT(801), + [4697] = {.entry = {.count = 1, .reusable = true}}, SHIFT(803), + [4699] = {.entry = {.count = 1, .reusable = true}}, SHIFT(198), + [4701] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2469), + [4703] = {.entry = {.count = 1, .reusable = true}}, SHIFT(197), + [4705] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1255), + [4707] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1171), + [4709] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1277), + [4711] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1261), + [4713] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2854), + [4715] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1248), + [4717] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1175), + [4719] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1245), + [4721] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1242), + [4723] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1179), + [4725] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1240), + [4727] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1238), + [4729] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1236), + [4731] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1235), + [4733] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1234), + [4735] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1233), + [4737] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1262), + [4739] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_join_type, 2), + [4741] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2866), + [4743] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1133), + [4745] = {.entry = {.count = 1, .reusable = true}}, SHIFT(552), + [4747] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1202), + [4749] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1921), + [4751] = {.entry = {.count = 1, .reusable = true}}, SHIFT(643), + [4753] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1129), + [4755] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2872), + [4757] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2053), + [4759] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2059), + [4761] = {.entry = {.count = 1, .reusable = true}}, SHIFT(165), + [4763] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1170), + [4765] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2467), + [4767] = {.entry = {.count = 1, .reusable = true}}, SHIFT(190), + [4769] = {.entry = {.count = 1, .reusable = true}}, SHIFT(195), + [4771] = {.entry = {.count = 1, .reusable = true}}, SHIFT(839), + [4773] = {.entry = {.count = 1, .reusable = true}}, SHIFT(840), + [4775] = {.entry = {.count = 1, .reusable = true}}, SHIFT(109), + [4777] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2064), + [4779] = {.entry = {.count = 1, .reusable = true}}, SHIFT(157), + [4781] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1920), + [4783] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1237), + [4785] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1180), + [4787] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1239), + [4789] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1160), + [4791] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2068), + [4793] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1241), + [4795] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1176), + [4797] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1243), + [4799] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1244), + [4801] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1193), + [4803] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1246), + [4805] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1247), + [4807] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1250), + [4809] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1251), + [4811] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1252), + [4813] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1253), + [4815] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1254), + [4817] = {.entry = {.count = 1, .reusable = true}}, SHIFT(291), + [4819] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2070), + [4821] = {.entry = {.count = 1, .reusable = true}}, SHIFT(174), + [4823] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1159), + [4825] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1103), + [4827] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1157), + [4829] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1154), + [4831] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1097), + [4833] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1151), + [4835] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1150), + [4837] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1100), + [4839] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1148), + [4841] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1147), + [4843] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1146), + [4845] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1143), + [4847] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1141), + [4849] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1136), + [4851] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1132), + [4853] = {.entry = {.count = 1, .reusable = true}}, SHIFT(313), + [4855] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2072), + [4857] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2056), + [4859] = {.entry = {.count = 1, .reusable = true}}, SHIFT(36), + [4861] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2077), + [4863] = {.entry = {.count = 1, .reusable = true}}, SHIFT(224), + [4865] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2079), + [4867] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1116), + [4869] = {.entry = {.count = 1, .reusable = true}}, SHIFT(142), + [4871] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1092), + [4873] = {.entry = {.count = 1, .reusable = true}}, SHIFT(851), + [4875] = {.entry = {.count = 1, .reusable = true}}, SHIFT(424), + [4877] = {.entry = {.count = 1, .reusable = true}}, SHIFT(128), + [4879] = {.entry = {.count = 1, .reusable = true}}, SHIFT(26), + [4881] = {.entry = {.count = 1, .reusable = true}}, SHIFT(821), + [4883] = {.entry = {.count = 1, .reusable = true}}, SHIFT(441), + [4885] = {.entry = {.count = 1, .reusable = true}}, SHIFT(820), + [4887] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1115), + [4889] = {.entry = {.count = 1, .reusable = true}}, SHIFT(817), + [4891] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2047), + [4893] = {.entry = {.count = 1, .reusable = true}}, SHIFT(147), + [4895] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1106), + [4897] = {.entry = {.count = 1, .reusable = true}}, SHIFT(816), + [4899] = {.entry = {.count = 1, .reusable = true}}, SHIFT(465), + [4901] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1917), + [4903] = {.entry = {.count = 1, .reusable = true}}, SHIFT(636), + [4905] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2207), + [4907] = {.entry = {.count = 1, .reusable = true}}, SHIFT(148), + [4909] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2029), + [4911] = {.entry = {.count = 1, .reusable = true}}, SHIFT(393), + [4913] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1916), + [4915] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1085), + [4917] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2908), + [4919] = {.entry = {.count = 1, .reusable = true}}, SHIFT(57), + [4921] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2042), + [4923] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2910), + [4925] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1368), + [4927] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2040), + [4929] = {.entry = {.count = 1, .reusable = true}}, SHIFT(154), + [4931] = {.entry = {.count = 1, .reusable = true}}, SHIFT(149), + [4933] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2740), + [4935] = {.entry = {.count = 1, .reusable = false}}, SHIFT_EXTRA(), + [4937] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2739), + [4939] = {.entry = {.count = 1, .reusable = true}}, SHIFT(112), + [4941] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2039), + [4943] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2735), + [4945] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2730), + [4947] = {.entry = {.count = 1, .reusable = true}}, SHIFT(125), + [4949] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2071), + [4951] = {.entry = {.count = 1, .reusable = true}}, SHIFT(665), + [4953] = {.entry = {.count = 1, .reusable = true}}, SHIFT(668), + [4955] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2030), + [4957] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2936), + [4959] = {.entry = {.count = 1, .reusable = true}}, SHIFT(161), + [4961] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2482), + [4963] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2704), + [4965] = {.entry = {.count = 1, .reusable = true}}, SHIFT(432), + [4967] = {.entry = {.count = 1, .reusable = true}}, SHIFT(898), + [4969] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2941), + [4971] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2228), + [4973] = {.entry = {.count = 1, .reusable = true}}, SHIFT(902), + [4975] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1817), + [4977] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2156), + [4979] = {.entry = {.count = 1, .reusable = true}}, SHIFT(904), + [4981] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2050), + [4983] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2695), + [4985] = {.entry = {.count = 1, .reusable = true}}, SHIFT(430), + [4987] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2689), + [4989] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1773), + [4991] = {.entry = {.count = 1, .reusable = true}}, SHIFT(428), + [4993] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_function_parameters, 3), + [4995] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2670), + [4997] = {.entry = {.count = 1, .reusable = true}}, SHIFT(427), + [4999] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2668), + [5001] = {.entry = {.count = 1, .reusable = true}}, SHIFT(880), + [5003] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2663), + [5005] = {.entry = {.count = 1, .reusable = true}}, SHIFT(879), + [5007] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2659), + [5009] = {.entry = {.count = 1, .reusable = true}}, SHIFT(131), + [5011] = {.entry = {.count = 1, .reusable = true}}, SHIFT(437), + [5013] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3014), + [5015] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2657), + [5017] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2654), + [5019] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2653), + [5021] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2648), + [5023] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2634), + [5025] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2629), + [5027] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2078), + [5029] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2074), + [5031] = {.entry = {.count = 1, .reusable = true}}, SHIFT(120), + [5033] = {.entry = {.count = 1, .reusable = true}}, SHIFT(85), + [5035] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3036), + [5037] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2781), + [5039] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3064), + [5041] = {.entry = {.count = 1, .reusable = true}}, SHIFT(115), + [5043] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2117), + [5045] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2621), + [5047] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2583), + [5049] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2210), + [5051] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1021), + [5053] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2616), + [5055] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2613), + [5057] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2026), + [5059] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2749), + [5061] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2498), + [5063] = {.entry = {.count = 1, .reusable = true}}, SHIFT(512), + [5065] = {.entry = {.count = 1, .reusable = true}}, SHIFT(193), + [5067] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2618), + [5069] = {.entry = {.count = 1, .reusable = true}}, SHIFT(299), + [5071] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2589), + [5073] = {.entry = {.count = 1, .reusable = true}}, SHIFT(958), + [5075] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2587), + [5077] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2952), + [5079] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2580), + [5081] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2579), + [5083] = {.entry = {.count = 1, .reusable = true}}, SHIFT(235), + [5085] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2575), + [5087] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2570), + [5089] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2569), + [5091] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2556), + [5093] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2551), + [5095] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2550), + [5097] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2549), + [5099] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1672), + [5101] = {.entry = {.count = 1, .reusable = true}}, SHIFT(162), + [5103] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2543), + [5105] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2542), + [5107] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2102), + [5109] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1026), + [5111] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2538), + [5113] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2533), + [5115] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_create_function_parameters, 4), + [5117] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3068), + [5119] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2362), + [5121] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2514), + [5123] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3086), + [5125] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1184), + [5127] = {.entry = {.count = 1, .reusable = true}}, REDUCE(sym_using_clause, 2, .production_id = 44), + [5129] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2513), + [5131] = {.entry = {.count = 1, .reusable = true}}, SHIFT(960), + [5133] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2509), + [5135] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3289), + [5137] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2660), + [5139] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2709), + [5141] = {.entry = {.count = 1, .reusable = true}}, SHIFT(107), + [5143] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2741), + [5145] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2746), + [5147] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2902), + [5149] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2912), + [5151] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2915), + [5153] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2918), + [5155] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2925), + [5157] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2955), + [5159] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2956), + [5161] = {.entry = {.count = 1, .reusable = true}}, SHIFT(196), + [5163] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2973), + [5165] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2992), + [5167] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2176), + [5169] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1369), + [5171] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2421), + [5173] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3097), + [5175] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1373), + [5177] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1927), + [5179] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3100), + [5181] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1374), + [5183] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3124), + [5185] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3141), + [5187] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1376), + [5189] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3154), + [5191] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3176), + [5193] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1381), + [5195] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3181), + [5197] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3252), + [5199] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3275), + [5201] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3276), + [5203] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3286), + [5205] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2880), + [5207] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3259), + [5209] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3384), + [5211] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3336), + [5213] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1892), + [5215] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1383), + [5217] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3330), + [5219] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3319), + [5221] = {.entry = {.count = 1, .reusable = true}}, SHIFT(97), + [5223] = {.entry = {.count = 1, .reusable = true}}, SHIFT(77), + [5225] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2373), + [5227] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3292), + [5229] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3117), + [5231] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2081), + [5233] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3265), + [5235] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1274), + [5237] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3130), + [5239] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3249), + [5241] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1385), + [5243] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3238), + [5245] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3235), + [5247] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1386), + [5249] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3222), + [5251] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3211), + [5253] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3208), + [5255] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3205), + [5257] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3199), + [5259] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3195), + [5261] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3184), + [5263] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3168), + [5265] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3167), + [5267] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1271), + [5269] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1387), + [5271] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3148), + [5273] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3145), + [5275] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3121), + [5277] = {.entry = {.count = 1, .reusable = true}}, SHIFT(94), + [5279] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2415), + [5281] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3103), + [5283] = {.entry = {.count = 1, .reusable = true}}, SHIFT(270), + [5285] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3194), + [5287] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3087), + [5289] = {.entry = {.count = 1, .reusable = true}}, SHIFT(5), + [5291] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3073), + [5293] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3060), + [5295] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1388), + [5297] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3049), + [5299] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3046), + [5301] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1266), + [5303] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3033), + [5305] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3022), + [5307] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3019), + [5309] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3016), + [5311] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3013), + [5313] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3010), + [5315] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2883), + [5317] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2986), + [5319] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2985), + [5321] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3198), + [5323] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1389), + [5325] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2961), + [5327] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2958), + [5329] = {.entry = {.count = 1, .reusable = true}}, SHIFT(761), + [5331] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1902), + [5333] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2457), + [5335] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2920), + [5337] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1907), + [5339] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3225), + [5341] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2899), + [5343] = {.entry = {.count = 1, .reusable = true}}, SHIFT(725), + [5345] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2886), + [5347] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2860), + [5349] = {.entry = {.count = 1, .reusable = true}}, SHIFT(59), + [5351] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2814), + [5353] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2792), + [5355] = {.entry = {.count = 1, .reusable = true}}, SHIFT(850), + [5357] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2787), + [5359] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2786), + [5361] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2783), + [5363] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2752), + [5365] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2750), + [5367] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2623), + [5369] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2742), + [5371] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2701), + [5373] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2700), + [5375] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3226), + [5377] = {.entry = {.count = 1, .reusable = true}}, SHIFT(849), + [5379] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2627), + [5381] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2619), + [5383] = {.entry = {.count = 1, .reusable = true}}, SHIFT(98), + [5385] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2045), + [5387] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2499), + [5389] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2512), + [5391] = {.entry = {.count = 1, .reusable = true}}, SHIFT(104), + [5393] = {.entry = {.count = 1, .reusable = true}}, SHIFT(47), + [5395] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2516), + [5397] = {.entry = {.count = 1, .reusable = true}}, SHIFT(60), + [5399] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2518), + [5401] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2521), + [5403] = {.entry = {.count = 1, .reusable = true}}, SHIFT(249), + [5405] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2523), + [5407] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2524), + [5409] = {.entry = {.count = 1, .reusable = true}}, SHIFT(191), + [5411] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2526), + [5413] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2527), + [5415] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2528), + [5417] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2529), + [5419] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2530), + [5421] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2531), + [5423] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2532), + [5425] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2535), + [5427] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2536), + [5429] = {.entry = {.count = 1, .reusable = true}}, SHIFT(63), + [5431] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2540), + [5433] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2541), + [5435] = {.entry = {.count = 1, .reusable = true}}, SHIFT(713), + [5437] = {.entry = {.count = 1, .reusable = true}}, SHIFT(156), + [5439] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2504), + [5441] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2547), + [5443] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2356), + [5445] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2552), + [5447] = {.entry = {.count = 1, .reusable = true}}, SHIFT(39), + [5449] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2554), + [5451] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2557), + [5453] = {.entry = {.count = 1, .reusable = true}}, SHIFT(68), + [5455] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2559), + [5457] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2560), + [5459] = {.entry = {.count = 1, .reusable = true}}, SHIFT(237), + [5461] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2562), + [5463] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2563), + [5465] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2564), + [5467] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2565), + [5469] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2566), + [5471] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2567), + [5473] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2568), + [5475] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2572), + [5477] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2573), + [5479] = {.entry = {.count = 1, .reusable = true}}, SHIFT(48), + [5481] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2577), + [5483] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2578), + [5485] = {.entry = {.count = 1, .reusable = true}}, SHIFT(146), + [5487] = {.entry = {.count = 1, .reusable = false}}, SHIFT(3140), + [5489] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2494), + [5491] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2586), + [5493] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2995), + [5495] = {.entry = {.count = 1, .reusable = true}}, SHIFT(51), + [5497] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2591), + [5499] = {.entry = {.count = 1, .reusable = true}}, SHIFT(42), + [5501] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2593), + [5503] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2596), + [5505] = {.entry = {.count = 1, .reusable = true}}, SHIFT(66), + [5507] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2598), + [5509] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2599), + [5511] = {.entry = {.count = 1, .reusable = true}}, SHIFT(136), + [5513] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2601), + [5515] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2602), + [5517] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2603), + [5519] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2604), + [5521] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2605), + [5523] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2606), + [5525] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2607), + [5527] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2610), + [5529] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2611), + [5531] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3257), + [5533] = {.entry = {.count = 1, .reusable = true}}, SHIFT(175), + [5535] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2614), + [5537] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2615), + [5539] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1690), + [5541] = {.entry = {.count = 1, .reusable = true}}, SHIFT(135), + [5543] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2490), + [5545] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2625), + [5547] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1896), + [5549] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1895), + [5551] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2630), + [5553] = {.entry = {.count = 1, .reusable = true}}, SHIFT(180), + [5555] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2632), + [5557] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2635), + [5559] = {.entry = {.count = 1, .reusable = true}}, SHIFT(181), + [5561] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2637), + [5563] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2638), + [5565] = {.entry = {.count = 1, .reusable = true}}, SHIFT(182), + [5567] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2640), + [5569] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2641), + [5571] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2642), + [5573] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2643), + [5575] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2644), + [5577] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2645), + [5579] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2646), + [5581] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2650), + [5583] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2651), + [5585] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1891), + [5587] = {.entry = {.count = 1, .reusable = true}}, SHIFT(185), + [5589] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2655), + [5591] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2656), + [5593] = {.entry = {.count = 1, .reusable = true}}, SHIFT(105), + [5595] = {.entry = {.count = 1, .reusable = true}}, SHIFT(106), + [5597] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2486), + [5599] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2666), + [5601] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3302), + [5603] = {.entry = {.count = 1, .reusable = true}}, SHIFT(75), + [5605] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2671), + [5607] = {.entry = {.count = 1, .reusable = true}}, SHIFT(114), + [5609] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2673), + [5611] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2676), + [5613] = {.entry = {.count = 1, .reusable = true}}, SHIFT(186), + [5615] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2678), + [5617] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2679), + [5619] = {.entry = {.count = 1, .reusable = true}}, SHIFT(188), + [5621] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2681), + [5623] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2682), + [5625] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2683), + [5627] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2684), + [5629] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2685), + [5631] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2686), + [5633] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2687), + [5635] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2691), + [5637] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2692), + [5639] = {.entry = {.count = 1, .reusable = true}}, SHIFT(111), + [5641] = {.entry = {.count = 1, .reusable = true}}, SHIFT(189), + [5643] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2696), + [5645] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2697), + [5647] = {.entry = {.count = 1, .reusable = true}}, SHIFT(54), + [5649] = {.entry = {.count = 1, .reusable = true}}, ACCEPT_INPUT(), + [5651] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2483), + [5653] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2707), + [5655] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1408), + [5657] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1688), + [5659] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2712), + [5661] = {.entry = {.count = 1, .reusable = true}}, SHIFT(61), + [5663] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2714), + [5665] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2717), + [5667] = {.entry = {.count = 1, .reusable = true}}, SHIFT(88), + [5669] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2719), + [5671] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2720), + [5673] = {.entry = {.count = 1, .reusable = true}}, SHIFT(194), + [5675] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2722), + [5677] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2723), + [5679] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2724), + [5681] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2725), + [5683] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2726), + [5685] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2727), + [5687] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2728), + [5689] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2732), + [5691] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2733), + [5693] = {.entry = {.count = 1, .reusable = true}}, SHIFT(53), + [5695] = {.entry = {.count = 1, .reusable = true}}, SHIFT(52), + [5697] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2737), + [5699] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2738), + [5701] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1872), + [5703] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1863), + [5705] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2478), + [5707] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2748), + [5709] = {.entry = {.count = 1, .reusable = false}}, SHIFT(1869), + [5711] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1861), + [5713] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2753), + [5715] = {.entry = {.count = 1, .reusable = true}}, SHIFT(67), + [5717] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2755), + [5719] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2758), + [5721] = {.entry = {.count = 1, .reusable = true}}, SHIFT(426), + [5723] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2760), + [5725] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2761), + [5727] = {.entry = {.count = 1, .reusable = true}}, SHIFT(810), + [5729] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2763), + [5731] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2764), + [5733] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2765), + [5735] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2766), + [5737] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2767), + [5739] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2768), + [5741] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2769), + [5743] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2773), + [5745] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2774), + [5747] = {.entry = {.count = 1, .reusable = true}}, SHIFT(108), + [5749] = {.entry = {.count = 1, .reusable = true}}, SHIFT(809), + [5751] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2778), + [5753] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2779), + [5755] = {.entry = {.count = 1, .reusable = true}}, SHIFT(116), + [5757] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3381), + [5759] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2473), + [5761] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2789), + [5763] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2046), + [5765] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3382), + [5767] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2794), + [5769] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1430), + [5771] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2796), + [5773] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2799), + [5775] = {.entry = {.count = 1, .reusable = true}}, SHIFT(532), + [5777] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2801), + [5779] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2802), + [5781] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1406), + [5783] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2804), + [5785] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2805), + [5787] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2806), + [5789] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2807), + [5791] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2808), + [5793] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2809), + [5795] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2810), + [5797] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2811), + [5799] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2812), + [5801] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2815), + [5803] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2817), + [5805] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2818), + [5807] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1446), + [5809] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2820), + [5811] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2821), + [5813] = {.entry = {.count = 1, .reusable = true}}, SHIFT(346), + [5815] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2823), + [5817] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2824), + [5819] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2825), + [5821] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2826), + [5823] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2827), + [5825] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2828), + [5827] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2829), + [5829] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2830), + [5831] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2831), + [5833] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2834), + [5835] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2835), + [5837] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2837), + [5839] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2838), + [5841] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2840), + [5843] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2841), + [5845] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2843), + [5847] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2844), + [5849] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2846), + [5851] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2847), + [5853] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2849), + [5855] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2850), + [5857] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2852), + [5859] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2853), + [5861] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2855), + [5863] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2856), + [5865] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2858), + [5867] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2859), + [5869] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2861), + [5871] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2862), + [5873] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2864), + [5875] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2865), + [5877] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2867), + [5879] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2868), + [5881] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2870), + [5883] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2871), + [5885] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2873), + [5887] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2874), + [5889] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2876), + [5891] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2877), + [5893] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2879), + [5895] = {.entry = {.count = 1, .reusable = false}}, SHIFT(2505), + [5897] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1634), + [5899] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2089), + [5901] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1857), + [5903] = {.entry = {.count = 1, .reusable = true}}, SHIFT(134), + [5905] = {.entry = {.count = 1, .reusable = true}}, SHIFT(89), + [5907] = {.entry = {.count = 1, .reusable = true}}, SHIFT(3306), + [5909] = {.entry = {.count = 1, .reusable = true}}, SHIFT(1403), + [5911] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2097), + [5913] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2092), + [5915] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2085), + [5917] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2086), + [5919] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2083), + [5921] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2098), + [5923] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2100), + [5925] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2101), + [5927] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2103), + [5929] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2106), + [5931] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2107), + [5933] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2109), + [5935] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2111), + [5937] = {.entry = {.count = 1, .reusable = true}}, SHIFT(2112), + [5939] = {.entry = {.count = 1, .reusable = true}}, SHIFT(560), }; #ifdef __cplusplus diff --git a/test/corpus/create.txt b/test/corpus/create.txt index 9673e0b..9642cba 100644 --- a/test/corpus/create.txt +++ b/test/corpus/create.txt @@ -182,3 +182,84 @@ CREATE TABLE IF NOT EXISTS tblsample (string))) (primary_key (identifier))))) + +================================================================================ +CREATE statement with array type +================================================================================ + +CREATE TABLE IF NOT EXISTS `tblsample` ( + col1 INTEGER[], + col2 INTEGER[3], + col3 INTEGER[][], + col4 INTEGER[3][3] +); + +-------------------------------------------------------------------------------- + +(source_file + (create_table_statement + (identifier) + (table_parameters + (table_column + (identifier) + (array_type + (type + (identifier)))) + (table_column + (identifier) + (array_type + (type + (identifier)) + (number))) + (table_column + (identifier) + (array_type + (type + (identifier)))) + (table_column + (identifier) + (array_type + (type + (identifier)) + (number) + (number)))))) + +================================================================================ +CREATE statement with VARYING, PRECISION, WITH/WITHOUT TIME ZONE types +================================================================================ + +CREATE TABLE IF NOT EXISTS `tblsample` ( + col1 CHARACTER VARYING, + col2 CHARACTER VARYING(10), + col3 DOUBLE PRECISION, + col4 TIMESTAMP WITH TIME ZONE, + col5 TIMESTAMP WITHOUT TIME ZONE +); + +-------------------------------------------------------------------------------- + +(source_file + (create_table_statement + (identifier) + (table_parameters + (table_column + (identifier) + (type + (identifier))) + (table_column + (identifier) + (type + (identifier) + (number))) + (table_column + (identifier) + (type + (identifier))) + (table_column + (identifier) + (type + (identifier))) + (table_column + (identifier) + (type + (identifier)))))) diff --git a/test/corpus/delete.txt b/test/corpus/delete.txt new file mode 100644 index 0000000..d149b03 --- /dev/null +++ b/test/corpus/delete.txt @@ -0,0 +1,45 @@ +================================================================================ +DELETE statement +================================================================================ + +DELETE FROM foo; +DELETE FROM foo WHERE name = 'bar'; + +-------------------------------------------------------------------------------- + +(source_file + (delete_statement + (from_clause + (identifier))) + (delete_statement + (from_clause + (identifier)) + (where_clause + (binary_expression + (identifier) + (string))))) + +================================================================================ +DELETE with CTE +================================================================================ + +WITH t AS ( + SELECT * FROM foo +) +DELETE FROM bar; + +-------------------------------------------------------------------------------- + +(source_file + (delete_statement + (with_clause + (cte + (identifier) + (select_statement + (select_clause + (select_clause_body + (asterisk_expression))) + (from_clause + (identifier))))) + (from_clause + (identifier)))) diff --git a/test/corpus/select.txt b/test/corpus/select.txt index 0da86fc..dd2d878 100644 --- a/test/corpus/select.txt +++ b/test/corpus/select.txt @@ -158,3 +158,164 @@ FROM table1 AS t, table2 t2, table3 (identifier) (identifier)) (identifier)))) + +================================================================================ +SELECT with limit +================================================================================ + +SELECT * FROM foo LIMIT 10; +SELECT * FROM foo LIMIT 10, 5; +SELECT * FROM foo LIMIT 10 OFFSET 5; + +-------------------------------------------------------------------------------- + +(source_file + (select_statement + (select_clause + (select_clause_body + (asterisk_expression))) + (from_clause + (identifier)) + (limit_clause + (number))) + (select_statement + (select_clause + (select_clause_body + (asterisk_expression))) + (from_clause + (identifier)) + (limit_clause + (number) + (number))) + (select_statement + (select_clause + (select_clause_body + (asterisk_expression))) + (from_clause + (identifier)) + (limit_clause + (number) + (number)))) + +================================================================================ +SELECT with CTE +================================================================================ + +WITH t AS ( + SELECT * FROM foo +) +SELECT * FROM bar; + +-------------------------------------------------------------------------------- + +(source_file + (select_statement + (with_clause + (cte + (identifier) + (select_statement + (select_clause + (select_clause_body + (asterisk_expression))) + (from_clause + (identifier))))) + (select_clause + (select_clause_body + (asterisk_expression))) + (from_clause + (identifier)))) + +================================================================================ +SELECT with aliased join +================================================================================ + +SELECT foo.x, bar.y FROM foo LEFT JOIN (SELECT id, y FROM baz) baz ON baz.id = foo.id; +SELECT foo.x, bar.y FROM foo LEFT JOIN (SELECT id, y FROM baz) AS baz ON baz.id = foo.id; + +-------------------------------------------------------------------------------- + +(source_file + (select_statement + (select_clause + (select_clause_body + (dotted_name + (identifier) + (identifier)) + (dotted_name + (identifier) + (identifier)))) + (from_clause + (identifier)) + (join_clause + (join_type) + (alias + (select_subexpression + (select_statement + (select_clause + (select_clause_body + (identifier) + (identifier))) + (from_clause + (identifier)))) + (identifier)) + (binary_expression + (dotted_name + (identifier) + (identifier)) + (dotted_name + (identifier) + (identifier))))) + (select_statement + (select_clause + (select_clause_body + (dotted_name + (identifier) + (identifier)) + (dotted_name + (identifier) + (identifier)))) + (from_clause + (identifier)) + (join_clause + (join_type) + (alias + (select_subexpression + (select_statement + (select_clause + (select_clause_body + (identifier) + (identifier))) + (from_clause + (identifier)))) + (identifier)) + (binary_expression + (dotted_name + (identifier) + (identifier)) + (dotted_name + (identifier) + (identifier)))))) + +================================================================================ +SELECT with LATERAL subexpression +================================================================================ + +SELECT * FROM LATERAL (SELECT * FROM foo) bar; + +-------------------------------------------------------------------------------- + +(source_file + (select_statement + (select_clause + (select_clause_body + (asterisk_expression))) + (from_clause + (alias + (select_subexpression + (select_statement + (select_clause + (select_clause_body + (asterisk_expression))) + (from_clause + (identifier)))) + (identifier))))) diff --git a/test/corpus/statements.txt b/test/corpus/statements.txt index 816bf87..b746162 100644 --- a/test/corpus/statements.txt +++ b/test/corpus/statements.txt @@ -120,13 +120,39 @@ GROUP BY lower(a), b; SELECT statement with order by ================================================================================ -SELECT a, b -FROM table1 -ORDER BY lower(a), b; +SELECT a, b FROM table1 ORDER BY lower(a), b; +SELECT a, b FROM table1 ORDER BY lower(a), b ASC; +SELECT a, b FROM table1 ORDER BY lower(a), b DESC; -------------------------------------------------------------------------------- (source_file + (select_statement + (select_clause + (select_clause_body + (identifier) + (identifier))) + (from_clause + (identifier)) + (order_by_clause + (order_by_clause_body + (function_call + (identifier) + (identifier)) + (identifier)))) + (select_statement + (select_clause + (select_clause_body + (identifier) + (identifier))) + (from_clause + (identifier)) + (order_by_clause + (order_by_clause_body + (function_call + (identifier) + (identifier)) + (identifier)))) (select_statement (select_clause (select_clause_body @@ -556,7 +582,7 @@ SELECT foo->>'bar' (select_statement (select_clause (select_clause_body - (field_access + (json_access (identifier) (string)))))) @@ -789,7 +815,7 @@ CREATE INDEX foo_idx ON table1 (col2, (lower(col1->>'attr'))); (identifier) (function_call (identifier) - (field_access + (json_access (identifier) (string)))))) @@ -909,7 +935,6 @@ CREATE TABLE public.my_table ( (type (identifier) (number)) - (time_zone_constraint) (null_constraint (NULL))) (table_column @@ -917,7 +942,6 @@ CREATE TABLE public.my_table ( (type (identifier) (number)) - (time_zone_constraint) (null_constraint (NULL)) (column_default @@ -1995,6 +2019,7 @@ ALTER TABLE mytable0 ADD FOREIGN KEY (mykey) REFERENCES mytable1 (col1_id) ON UPDATE CASCADE ON DELETE RESTRICT INITIALLY DEFERRED; ALTER TABLE foo SET (autovacuum_enabled = false); +ALTER TABLE foo RENAME COLUMN bar TO baz; -------------------------------------------------------------------------------- @@ -2045,7 +2070,13 @@ ALTER TABLE foo SET (autovacuum_enabled = false); (alter_table_action_set (binary_expression (identifier) - (FALSE))))))) + (FALSE)))))) + (alter_statement + (alter_table + (identifier) + (alter_table_rename_column + (identifier) + (identifier))))) ================================================================================ Transaction operators @@ -2120,3 +2151,108 @@ SELECT a.`test 1`; (dotted_name (identifier) (identifier)))))) + +================================================================================ +CASE +================================================================================ + +SELECT a, + CASE WHEN a=1 THEN 'one' + WHEN a=2 THEN 'two' + ELSE 'other' + END +FROM foo; + +-------------------------------------------------------------------------------- + +(source_file + (select_statement + (select_clause + (select_clause_body + (identifier) + (conditional_expression + (binary_expression + (identifier) + (number)) + (string) + (binary_expression + (identifier) + (number)) + (string) + (string)))) + (from_clause + (identifier)))) + +================================================================================ +AT TIME ZONE +================================================================================ + +SELECT '2001-02-16 20:38:40'::TIMESTAMP AT TIME ZONE 'America/Denver'; + +-------------------------------------------------------------------------------- + +(source_file + (select_statement + (select_clause + (select_clause_body + (at_time_zone_expression + (type_cast + (string) + (type + (identifier))) + (string)))))) + +================================================================================ +JSON accessing operators +================================================================================ + +SELECT + '[{"a":"foo"},{"b":"bar"},{"c":"baz"}]'::json->2, + '{"a": {"b":"foo"}}'::json->'a', + '[1,2,3]'::json->>2, + '{"a":1,"b":2}'::json->>'b', + '{"a": {"b":{"c": "foo"}}}'::json#>'{a,b}', + '{"a":[1,2,3],"b":[4,5,6]}'::json#>>'{a,2}'; + +-------------------------------------------------------------------------------- + +(source_file + (select_statement + (select_clause + (select_clause_body + (json_access + (type_cast + (string) + (type + (identifier))) + (number)) + (json_access + (type_cast + (string) + (type + (identifier))) + (string)) + (json_access + (type_cast + (string) + (type + (identifier))) + (number)) + (json_access + (type_cast + (string) + (type + (identifier))) + (string)) + (json_access + (type_cast + (string) + (type + (identifier))) + (string)) + (json_access + (type_cast + (string) + (type + (identifier))) + (string)))))) diff --git a/test/corpus/update.txt b/test/corpus/update.txt index 2d4e4e8..f908d62 100644 --- a/test/corpus/update.txt +++ b/test/corpus/update.txt @@ -40,4 +40,63 @@ UPDATE table1 SET col1 = 3, col2 = 4 WHERE col1 > col2 (where_clause (binary_expression (identifier) - (identifier))))) + (identifier))))) + +================================================================================ +UPDATE statement with FROM clause +================================================================================ + +UPDATE foo SET col1 = 1 FROM bar WHERE foo.id = bar.foo_id; + +-------------------------------------------------------------------------------- + +(source_file + (update_statement + (identifier) + (set_clause + (set_clause_body + (assigment_expression + (identifier) + (number)))) + (from_clause + (identifier)) + (where_clause + (binary_expression + (dotted_name + (identifier) + (identifier)) + (dotted_name + (identifier) + (identifier)))))) + +================================================================================ +UPDATE with CTE +================================================================================ + +WITH t AS ( + SELECT * FROM foo +) +UPDATE bar SET col1 = 3, col2 = 4; + +-------------------------------------------------------------------------------- + +(source_file + (update_statement + (with_clause + (cte + (identifier) + (select_statement + (select_clause + (select_clause_body + (asterisk_expression))) + (from_clause + (identifier))))) + (identifier) + (set_clause + (set_clause_body + (assigment_expression + (identifier) + (number)) + (assigment_expression + (identifier) + (number))))))