Skip to content

Commit

Permalink
add additional check to ignore invalid harmonic indices (#164)
Browse files Browse the repository at this point in the history
  • Loading branch information
akaszynski committed Oct 13, 2022
1 parent f9030d4 commit 0b07da5
Showing 1 changed file with 6 additions and 0 deletions.
6 changes: 6 additions & 0 deletions ansys/mapdl/reader/cyclic_reader.py
Original file line number Diff line number Diff line change
Expand Up @@ -118,6 +118,12 @@ def _add_cyclic_properties(self):
self._is_repeated_mode = np.array([False])
return

# should not have repeated modes at harmonic index of 0 or N/2
self._is_repeated_mode[self._resultheader["hindex"] == 0] = False
self._is_repeated_mode[
self._resultheader["hindex"] == self.n_sector // 2
] = False

self._repeated_index = np.empty(self._is_repeated_mode.size, np.int)
self._repeated_index[:] = -1
if np.any(self._is_repeated_mode):
Expand Down

0 comments on commit 0b07da5

Please sign in to comment.