diff --git a/.github/scripts/defaults.sh b/.github/scripts/defaults.sh index ef7453d201..7384916bc7 100755 --- a/.github/scripts/defaults.sh +++ b/.github/scripts/defaults.sh @@ -29,7 +29,7 @@ REMOTE_COURSIER_CACHE=$REMOTE_WORK_DIR/.coursier-cache # key value store to get the build groups declare -A grouping grouping["group-cores"]="chipyard-cva6 chipyard-ibex chipyard-rocket chipyard-hetero chipyard-boom chipyard-sodor chipyard-digitaltop chipyard-multiclock-rocket chipyard-nomem-scratchpad chipyard-spike chipyard-clone chipyard-prefetchers chipyard-shuttle" -grouping["group-peripherals"]="chipyard-dmirocket chipyard-dmiboom chipyard-spiflashwrite chipyard-mmios chipyard-nocores chipyard-manyperipherals chipyard-chiplike chipyard-tethered chipyard-symmetric" +grouping["group-peripherals"]="chipyard-dmirocket chipyard-dmiboom chipyard-spiflashwrite chipyard-mmios chipyard-nocores chipyard-manyperipherals chipyard-chiplike chipyard-tethered chipyard-symmetric chipyard-llcchiplet" grouping["group-accels"]="chipyard-mempress chipyard-sha3 chipyard-hwacha chipyard-gemmini chipyard-manymmioaccels chipyard-nvdla chipyard-aes256ecb" grouping["group-constellation"]="chipyard-constellation" grouping["group-tracegen"]="tracegen tracegen-boom" @@ -59,6 +59,7 @@ mapping["chipyard-manyperipherals"]=" CONFIG=ManyPeripheralsRocketConfig EXTRA_S mapping["chipyard-chiplike"]=" CONFIG=ChipLikeRocketConfig MODEL=FlatTestHarness MODEL_PACKAGE=chipyard.example verilog" mapping["chipyard-tethered"]=" CONFIG=VerilatorCITetheredChipLikeRocketConfig" mapping["chipyard-symmetric"]=" CONFIG=MultiSimSymmetricChipletRocketConfig" +mapping["chipyard-llcchiplet"]=" CONFIG=MultiSimLLCChipletRocketConfig" mapping["chipyard-cloneboom"]=" CONFIG=Cloned64MegaBoomConfig verilog" mapping["chipyard-nocores"]=" CONFIG=NoCoresConfig verilog" mapping["tracegen"]=" CONFIG=NonBlockingTraceGenL2Config" diff --git a/.github/scripts/run-tests.sh b/.github/scripts/run-tests.sh index eccc556384..c3dbd86202 100755 --- a/.github/scripts/run-tests.sh +++ b/.github/scripts/run-tests.sh @@ -122,6 +122,10 @@ case $1 in make -C $LOCAL_CHIPYARD_DIR/tests run_binary BINARY=$LOCAL_CHIPYARD_DIR/tests/symmetric.riscv LOADMEM=1 ;; + chipyard-llcchiplet) + make -C $LOCAL_CHIPYARD_DIR/tests + run_binary BINARY=$LOCAL_CHIPYARD_DIR/tests/hello.riscv LOADMEM=1 + ;; tracegen) run_tracegen ;; diff --git a/.github/workflows/chipyard-run-tests.yml b/.github/workflows/chipyard-run-tests.yml index f56f279da1..750f5bc388 100644 --- a/.github/workflows/chipyard-run-tests.yml +++ b/.github/workflows/chipyard-run-tests.yml @@ -731,6 +731,29 @@ jobs: group-key: "group-peripherals" project-key: "chipyard-symmetric" + chipyard-llcchiplet-run-tests: + name: chipyard-llcchiplet-run-tests + needs: prepare-chipyard-peripherals + runs-on: as4 + steps: + - name: Delete old checkout + run: | + ls -alh . + rm -rf ${{ github.workspace }}/* || true + rm -rf ${{ github.workspace }}/.* || true + ls -alh . + - name: Checkout + uses: actions/checkout@v3 + - name: Git workaround + uses: ./.github/actions/git-workaround + - name: Create conda env + uses: ./.github/actions/create-conda-env + - name: Run tests + uses: ./.github/actions/run-tests + with: + group-key: "group-peripherals" + project-key: "chipyard-llcchiplet" + chipyard-sha3-run-tests: name: chipyard-sha3-run-tests needs: prepare-chipyard-accels @@ -1095,6 +1118,7 @@ jobs: chipyard-manyperipherals-run-tests, chipyard-tethered-run-tests, chipyard-symmetric-run-tests, + chipyard-llcchiplet-run-tests, chipyard-sha3-run-tests, chipyard-gemmini-run-tests, chipyard-manymmioaccels-run-tests, # chipyard-nvdla-run-tests, diff --git a/.gitmodules b/.gitmodules index a862efa6b9..f58dc6f26e 100644 --- a/.gitmodules +++ b/.gitmodules @@ -148,6 +148,9 @@ [submodule "generators/rocket-chip-inclusive-cache"] path = generators/rocket-chip-inclusive-cache url = https://github.com/chipsalliance/rocket-chip-inclusive-cache.git +[submodule "tools/circt"] + path = tools/circt + url = https://github.com/llvm/circt.git [submodule "generators/hpu"] path = generators/hpu url = https://github.com/allpan3/Hyper.git diff --git a/common.mk b/common.mk index a0acba03fe..34c0878623 100644 --- a/common.mk +++ b/common.mk @@ -193,7 +193,7 @@ SFC_MFC_TARGETS = \ $(GEN_COLLATERAL_DIR) SFC_REPL_SEQ_MEM = --infer-rw --repl-seq-mem -c:$(MODEL):-o:$(SFC_SMEMS_CONF) -MFC_BASE_LOWERING_OPTIONS ?= emittedLineLength=2048,noAlwaysComb,disallowLocalVariables,verifLabels,locationInfoStyle=wrapInAtSquareBracket +MFC_BASE_LOWERING_OPTIONS ?= emittedLineLength=2048,noAlwaysComb,disallowLocalVariables,verifLabels,disallowPortDeclSharing,locationInfoStyle=wrapInAtSquareBracket # DOC include start: FirrtlCompiler # There are two possible cases for this step. In the first case, SFC diff --git a/conda-reqs/chipyard-base.yaml b/conda-reqs/chipyard-base.yaml index 59b02ef80d..324bdc4ca8 100644 --- a/conda-reqs/chipyard-base.yaml +++ b/conda-reqs/chipyard-base.yaml @@ -31,5 +31,5 @@ dependencies: - sbt - openjdk=20 - dtc - - verilator==5.020 + - verilator==5.022 - conda-lock=1.4 diff --git a/conda-reqs/circt.json b/conda-reqs/circt.json index 3b5ca63a67..1eb54a4391 100644 --- a/conda-reqs/circt.json +++ b/conda-reqs/circt.json @@ -1,3 +1,3 @@ { - "version": "firtool-1.61.0" + "version": "firtool-1.66.0" } diff --git a/conda-reqs/conda-lock-reqs/conda-requirements-esp-tools-linux-64-lean.conda-lock.yml b/conda-reqs/conda-lock-reqs/conda-requirements-esp-tools-linux-64-lean.conda-lock.yml index 32407bfbfd..a0c405dd54 100644 --- a/conda-reqs/conda-lock-reqs/conda-requirements-esp-tools-linux-64-lean.conda-lock.yml +++ b/conda-reqs/conda-lock-reqs/conda-requirements-esp-tools-linux-64-lean.conda-lock.yml @@ -9,7 +9,7 @@ # To update a single package to the latest version compatible with the version constraints in the source: # conda-lock lock --lockfile conda-requirements-esp-tools-linux-64-lean.conda-lock.yml --update PACKAGE # To re-solve the entire environment, e.g. after changing a version constraint in the source file: -# conda-lock -f /scratch/joonho.whangbo/coding/chipyard-release-118/conda-reqs/chipyard-base.yaml -f /scratch/joonho.whangbo/coding/chipyard-release-118/conda-reqs/docs.yaml -f /scratch/joonho.whangbo/coding/chipyard-release-118/conda-reqs/esp-tools.yaml --lockfile conda-requirements-esp-tools-linux-64-lean.conda-lock.yml +# conda-lock -f /scratch/vighneshiyer/chipyard-verilator/conda-reqs/chipyard-base.yaml -f /scratch/vighneshiyer/chipyard-verilator/conda-reqs/docs.yaml -f /scratch/vighneshiyer/chipyard-verilator/conda-reqs/esp-tools.yaml --lockfile conda-requirements-esp-tools-linux-64-lean.conda-lock.yml metadata: channels: - url: ucb-bar @@ -21,13 +21,13 @@ metadata: - url: nodefaults used_env_vars: [] content_hash: - linux-64: b3d6181ced7140e828bceb01703958b116fa5c544d3f5a8ce512f808f5ed3c35 + linux-64: b5e730a79fbfb25491971b81972ab974120cc4d05cc08d55be2c864bdeb2470c platforms: - linux-64 sources: - - /scratch/joonho.whangbo/coding/chipyard-release-118/conda-reqs/chipyard-base.yaml - - /scratch/joonho.whangbo/coding/chipyard-release-118/conda-reqs/docs.yaml - - /scratch/joonho.whangbo/coding/chipyard-release-118/conda-reqs/esp-tools.yaml + - /scratch/vighneshiyer/chipyard-verilator/conda-reqs/chipyard-base.yaml + - /scratch/vighneshiyer/chipyard-verilator/conda-reqs/docs.yaml + - /scratch/vighneshiyer/chipyard-verilator/conda-reqs/esp-tools.yaml package: - category: main dependencies: {} @@ -43,25 +43,25 @@ package: - category: main dependencies: {} hash: - md5: f6ce7955b53ae1ca83144adb3be9c600 - sha256: 546e4eb1cbd822a66b363ec3fbdcc4fcc53853bcab57674cb46b8f3209b856f2 + md5: 38d211c448a67f12fe693fe25df4da23 + sha256: 0dbeaddc3d5134b5336c52ac05642533b8d1ba2e1316aa92981f4cf5b5388de0 manager: conda name: _sysroot_linux-64_curr_repodata_hack optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/_sysroot_linux-64_curr_repodata_hack-3-h69a702a_13.conda + url: https://conda.anaconda.org/conda-forge/noarch/_sysroot_linux-64_curr_repodata_hack-3-h69a702a_14.conda version: '3' - category: main dependencies: {} hash: - md5: 01ffc8d36f9eba0ce0b3c1955fa780ee - sha256: fb4b9f4b7d885002db0b93e22f44b5b03791ef3d4efdc9d0662185a0faafd6b6 + md5: 2f4327a1cbe7f022401b236e915a5fef + sha256: 91d81bfecdbb142c15066df70cc952590ae8991670198f92c66b62019b251aeb manager: conda name: ca-certificates optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/ca-certificates-2023.11.17-hbcca054_0.conda - version: 2023.11.17 + url: https://conda.anaconda.org/conda-forge/linux-64/ca-certificates-2024.2.2-hbcca054_0.conda + version: 2024.2.2 - category: main dependencies: {} hash: @@ -120,35 +120,35 @@ package: - category: main dependencies: {} hash: - md5: 2390dcf3fd78c2c426f1c5b6664fa4b7 - sha256: 12904b795e5cb877ce6a7bb30feba9bfbe1dd38bd5f520e6af8d89a51bb6b6a6 + md5: fb94f6b17ef1a75faac2e06937dc4223 + sha256: 86d1e11bf0b8dbc74fec07f3c71bb1b20f83e32b5b9f8625b3dc653ce00e40bd manager: conda name: libgcc-devel_linux-64 optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/libgcc-devel_linux-64-11.4.0-h922705a_103.conda + url: https://conda.anaconda.org/conda-forge/noarch/libgcc-devel_linux-64-11.4.0-h922705a_105.conda version: 11.4.0 - category: main dependencies: {} hash: - md5: be10a739476d68c464101836773463f1 - sha256: 4957848888d7a8cdc77c605357e759f0c9b08c18510f08a205f567666602ca2b + md5: a884fe2f11c6167f3dc62d4b1db20ced + sha256: 20c4f2b96b8fb57a3cad0bb8f1ce407ee7bc935cb0ce68b430b10b77616c0b16 manager: conda name: libstdcxx-devel_linux-64 optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/libstdcxx-devel_linux-64-11.4.0-h922705a_103.conda + url: https://conda.anaconda.org/conda-forge/noarch/libstdcxx-devel_linux-64-11.4.0-h922705a_105.conda version: 11.4.0 - category: main dependencies: {} hash: - md5: 937eaed008f6bf2191c5fe76f87755e9 - sha256: 6c6c49efedcc5709a66f19fb6b26b69c6a5245310fd1d9a901fd5e38aaf7f882 + md5: f6f6600d18a4047b54f803cf708b868a + sha256: a56c5b11f1e73a86e120e6141a42d9e935a99a2098491ac9e15347a1476ce777 manager: conda name: libstdcxx-ng optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/libstdcxx-ng-13.2.0-h7e041cc_3.conda + url: https://conda.anaconda.org/conda-forge/linux-64/libstdcxx-ng-13.2.0-h7e041cc_5.conda version: 13.2.0 - category: main dependencies: {} @@ -164,14 +164,14 @@ package: - category: main dependencies: {} hash: - md5: 8dee24b8be2d9ff81e7bd4d7d97ff1b0 - sha256: 04f2ab3e36f2015841551415bf16bf62933bd94b7085d4be5493b388e95a9c3d + md5: 161081fc7cec0bfda0d86d7cb595f8d8 + sha256: 7b2b69c54ec62a243eb6fba2391b5e443421608c3ae5dbff938ad33ca8db5122 manager: conda name: tzdata optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/tzdata-2023d-h0c530f3_0.conda - version: 2023d + url: https://conda.anaconda.org/conda-forge/noarch/tzdata-2024a-h0c530f3_0.conda + version: 2024a - category: main dependencies: font-ttf-dejavu-sans-mono: '' @@ -191,25 +191,25 @@ package: dependencies: _sysroot_linux-64_curr_repodata_hack: 3.* hash: - md5: 523bc836a954faf0cca94831971bb85a - sha256: 67a3caa56e2a59f407f3d290437b865aaf996873006e2fcfca6295d0f0be8db9 + md5: f6c14886b1695a2462d296176e831080 + sha256: 604b9345a7a1474b7eb33260e382446754d16eed754b853d7571d0e39bfc7737 manager: conda name: kernel-headers_linux-64 optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/kernel-headers_linux-64-3.10.0-h4a8ded7_13.tar.bz2 + url: https://conda.anaconda.org/conda-forge/noarch/kernel-headers_linux-64-3.10.0-h4a8ded7_14.conda version: 3.10.0 - category: main dependencies: _libgcc_mutex: 0.1 conda_forge hash: - md5: 7124cbb46b13d395bdde68f2d215c989 - sha256: 6ebedee39b6bbbc969715d0d7fa4b381cce67e1139862604ffa393f821c08e81 + md5: d211c42b9ce49aee3734fdc828731689 + sha256: 0d3d4b1b0134283ea02d58e8eb5accf3655464cf7159abf098cc694002f8d34e manager: conda name: libgomp optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/libgomp-13.2.0-h807b86a_3.conda + url: https://conda.anaconda.org/conda-forge/linux-64/libgomp-13.2.0-h807b86a_5.conda version: 13.2.0 - category: main dependencies: @@ -239,15 +239,15 @@ package: - category: main dependencies: _sysroot_linux-64_curr_repodata_hack: 3.* - kernel-headers_linux-64: 3.10.0 h4a8ded7_13 + kernel-headers_linux-64: 3.10.0 h4a8ded7_14 hash: - md5: 57e5a5191ffe999b9f4dfdbcd0ddcba4 - sha256: f09f2fea4b571dcd225f1e35bd3c851e809cd4c2f5f151438133969ab28478e5 + md5: d5f7a1cca06f56e6ac2b525f199f9b9e + sha256: af0f9ed466f97e3910c1d867ab44c974e01383cb408baa38606265964c7f0857 manager: conda name: sysroot_linux-64 optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/sysroot_linux-64-2.17-h4a8ded7_13.tar.bz2 + url: https://conda.anaconda.org/conda-forge/noarch/sysroot_linux-64-2.17-h4a8ded7_14.conda version: '2.17' - category: main dependencies: @@ -267,13 +267,13 @@ package: _libgcc_mutex: 0.1 conda_forge _openmp_mutex: '>=4.5' hash: - md5: 23fdf1fef05baeb7eadc2aed5fb0011f - sha256: 5e88f658e07a30ab41b154b42c59f079b168acfa9551a75bdc972099453f4105 + md5: d4ff227c46917d3b4565302a2bbb276b + sha256: d32f78bfaac282cfe5205f46d558704ad737b8dbf71f9227788a5ca80facaba4 manager: conda name: libgcc-ng optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/libgcc-ng-13.2.0-h807b86a_3.conda + url: https://conda.anaconda.org/conda-forge/linux-64/libgcc-ng-13.2.0-h807b86a_5.conda version: 13.2.0 - category: main dependencies: @@ -315,14 +315,14 @@ package: dependencies: libgcc-ng: '>=12' hash: - md5: 89e40af02dd3a0846c0c1131c5126706 - sha256: c4bbdafd6791583e3c77e8ed0e1df9e0021d542249c3543de3d72788f5c8a0c4 + md5: f6afff0e9ee08d2f1b897881a4f38cdb + sha256: 2a5866b19d28cb963fab291a62ff1c884291b9d6f59de14643e52f103e255749 manager: conda name: c-ares optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/c-ares-1.25.0-hd590300_0.conda - version: 1.25.0 + url: https://conda.anaconda.org/conda-forge/linux-64/c-ares-1.27.0-hd590300_0.conda + version: 1.27.0 - category: main dependencies: libgcc-ng: '>=12' @@ -523,13 +523,13 @@ package: dependencies: libgcc-ng: '>=11.4.0' hash: - md5: e6f89a7be0ec320c1b1746971045b0e7 - sha256: c4c5c87f5c717e83299740f6a20393f9a131f05e2e9df63faafd6bb71dc58346 + md5: 47a9846c7679f8381b06fc5052ab4a4b + sha256: fc00e9a71c07446cf1744bd1d5cd3efa6dfd3a7db6c2c8a82853f19b8b1416f8 manager: conda name: libsanitizer optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/libsanitizer-11.4.0-h4dcbe23_3.conda + url: https://conda.anaconda.org/conda-forge/linux-64/libsanitizer-11.4.0-h4dcbe23_5.conda version: 11.4.0 - category: main dependencies: @@ -632,27 +632,27 @@ package: ca-certificates: '' libgcc-ng: '>=12' hash: - md5: 603827b39ea2b835268adb8c821b8570 - sha256: 80efc6f429bd8e622d999652e5cba2ca56fcdb9c16a439d2ce9b4313116e4a87 + md5: 51a753e64a3027bd7e23a189b1f6e91e + sha256: c02c12bdb898daacf7eb3d09859f93ea8f285fd1a6132ff6ff0493ab52c7fe57 manager: conda name: openssl optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/openssl-3.2.0-hd590300_1.conda - version: 3.2.0 + url: https://conda.anaconda.org/conda-forge/linux-64/openssl-3.2.1-hd590300_0.conda + version: 3.2.1 - category: main dependencies: libgcc-ng: '>=12' libstdcxx-ng: '>=12' hash: - md5: 6b4b43013628634b6cfdee6b74fd696b - sha256: 07a5ffcd34e241f900433af4c6d4904518aab76add4e1e40a2c4bad93ae43f2b + md5: 71004cbf7924e19c02746ccde9fd7123 + sha256: 366d28e2a0a191d6c535e234741e0cd1d94d713f76073d8af4a5ccb2a266121e manager: conda name: pixman optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/pixman-0.43.0-h59595ed_0.conda - version: 0.43.0 + url: https://conda.anaconda.org/conda-forge/linux-64/pixman-0.43.2-h59595ed_0.conda + version: 0.43.2 - category: main dependencies: libgcc-ng: '>=7.5.0' @@ -841,20 +841,20 @@ package: - category: main dependencies: binutils_impl_linux-64: '>=2.39' - libgcc-devel_linux-64: 11.4.0 h922705a_103 + libgcc-devel_linux-64: 11.4.0 h922705a_105 libgcc-ng: '>=11.4.0' libgomp: '>=11.4.0' - libsanitizer: 11.4.0 h4dcbe23_3 + libsanitizer: 11.4.0 h4dcbe23_5 libstdcxx-ng: '>=11.4.0' sysroot_linux-64: '' hash: - md5: b17749a6ae62cf9a5eafd96a6bcaad0e - sha256: 24d34853611b8758c40fa9d6a0d0b738312f51af9fed8fa6c6422f15ec475763 + md5: dd619b391c1c85728a6c70aac733e0a8 + sha256: b354a25c5eee51c7f2d9bd1232d445302068e55e540eddddf32bf96cc54f48b9 manager: conda name: gcc_impl_linux-64 optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/gcc_impl_linux-64-11.4.0-h7aa1c59_3.conda + url: https://conda.anaconda.org/conda-forge/linux-64/gcc_impl_linux-64-11.4.0-h7aa1c59_5.conda version: 11.4.0 - category: main dependencies: @@ -904,27 +904,27 @@ package: libgcc-ng: '>=12' libzlib: '>=1.2.13,<1.3.0a0' hash: - md5: e1c890aebdebbfbf87e2c917187b4416 - sha256: a32b36d34e4f2490b99bddbc77d01a674d304f667f0e62c89e02c961addef462 + md5: 009981dd9cfcaa4dbfa25ffaed86bcae + sha256: 502f6ff148ac2777cc55ae4ade01a8fc3543b4ffab25c4e0eaa15f94e90dd997 manager: conda name: libpng optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/libpng-1.6.39-h753d276_0.conda - version: 1.6.39 + url: https://conda.anaconda.org/conda-forge/linux-64/libpng-1.6.43-h2797004_0.conda + version: 1.6.43 - category: main dependencies: libgcc-ng: '>=12' libzlib: '>=1.2.13,<1.3.0a0' hash: - md5: 3b6a9f225c3dbe0d24f4fedd4625c5bf - sha256: ee2c4d724a3ed60d5b458864d66122fb84c6ce1df62f735f90d8db17b66cd88a + md5: fc4ccadfbf6d4784de88c41704792562 + sha256: 1b379d1c652b25d0540251d422ef767472e768fd36b77261045e97f9ba6d3faa manager: conda name: libsqlite optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/libsqlite-3.44.2-h2797004_0.conda - version: 3.44.2 + url: https://conda.anaconda.org/conda-forge/linux-64/libsqlite-3.45.1-h2797004_0.conda + version: 3.45.1 - category: main dependencies: libgcc-ng: '>=12' @@ -1092,13 +1092,13 @@ package: dependencies: gcc_impl_linux-64: '>=11.4.0,<11.4.1.0a0' hash: - md5: 42003d7074d4daaf287d19987cd927bf - sha256: 2c30ddc6cb51a03fb5f671f55eaabcb9208d6ce701e6de2234518eec43a6f2d1 + md5: f400dd0a481abdfff466337623081d1a + sha256: 33504fd9020cd95f66c1d096112634c12e8bfd813d2bcd52ede90626c4768d3b manager: conda name: conda-gcc-specs optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/conda-gcc-specs-11.4.0-h240829a_3.conda + url: https://conda.anaconda.org/conda-forge/linux-64/conda-gcc-specs-11.4.0-h240829a_5.conda version: 11.4.0 - category: main dependencies: @@ -1128,17 +1128,17 @@ package: version: 11.4.0 - category: main dependencies: - gcc_impl_linux-64: 11.4.0 h7aa1c59_3 - libstdcxx-devel_linux-64: 11.4.0 h922705a_103 + gcc_impl_linux-64: 11.4.0 h7aa1c59_5 + libstdcxx-devel_linux-64: 11.4.0 h922705a_105 sysroot_linux-64: '' hash: - md5: dbee4be21eb6dbf596afddf60ff120bf - sha256: 424d9989ea82e6777e569de6873cc56229a8982e274409c344473ad89bb7bbb7 + md5: 99ef88bf2364edd566e9bfec9db2bf95 + sha256: 391b83e5cf7a31f49c3d2147dcc146a62a0a98d2c73e629680b6263b8e2c9df4 manager: conda name: gxx_impl_linux-64 optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/gxx_impl_linux-64-11.4.0-h7aa1c59_3.conda + url: https://conda.anaconda.org/conda-forge/linux-64/gxx_impl_linux-64-11.4.0-h7aa1c59_5.conda version: 11.4.0 - category: main dependencies: @@ -1166,14 +1166,14 @@ package: libzlib: '>=1.2.13,<1.3.0a0' pcre2: '>=10.42,<10.43.0a0' hash: - md5: 9bd06b12bbfa6fd1740fd23af4b0f0c7 - sha256: b1b594294a0fe4c9a51596ef027efed9268d60827e8ae61fb7545c521a631e33 + md5: d86baf8740d1a906b9716f2a0bac2f2d + sha256: 3a03a5254d2fd29c1e0ffda7250e22991dfbf2c854301fd56c408d97a647cfbd manager: conda name: libglib optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/libglib-2.78.3-h783c2da_0.conda - version: 2.78.3 + url: https://conda.anaconda.org/conda-forge/linux-64/libglib-2.78.4-h783c2da_0.conda + version: 2.78.4 - category: main dependencies: lerc: '>=4.0.0,<5.0a0' @@ -1305,14 +1305,14 @@ package: dependencies: python: '>=3.7' hash: - md5: 2011bcf45376341dd1d690263fdbc789 - sha256: afa22b77128a812cb57bc707c297d926561bd225a3d9dd74205d87a3b2d14a96 + md5: 0876280e409658fc6f9e75d035960333 + sha256: f1faca020f988696e6b6ee47c82524c7806380b37cfdd1def32f92c326caca54 manager: conda name: certifi optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/certifi-2023.11.17-pyhd8ed1ab_0.conda - version: 2023.11.17 + url: https://conda.anaconda.org/conda-forge/noarch/certifi-2024.2.2-pyhd8ed1ab_0.conda + version: 2024.2.2 - category: main dependencies: python: '>=3.7' @@ -1548,14 +1548,14 @@ package: python: '>=3.9,<3.10.0a0' python_abi: 3.9.* *_cp39 hash: - md5: 847ad1c2bcbef1e2febfdaa3b199c2a4 - sha256: e3019f819f354cc7ac080704ae9faa87618f5bbf536dc5b82f52296eb7f80459 + md5: 9a9a22eb1f83c44953319ee3b027769f + sha256: 855d305ceda4751cdd495923104dd34da5a6be45e4fd50a4e80361d9f95bcb38 manager: conda name: markupsafe optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/markupsafe-2.1.4-py39hd1e30aa_0.conda - version: 2.1.4 + url: https://conda.anaconda.org/conda-forge/linux-64/markupsafe-2.1.5-py39hd1e30aa_0.conda + version: 2.1.5 - category: main dependencies: python: '>=3.8' @@ -1623,17 +1623,17 @@ package: dependencies: python: '>=3.8' hash: - md5: 45a5065664da0d1dfa8f8cd2eaf05ab9 - sha256: 9e4ff17ce802159ed31344eb913eaa877688226765b77947b102b42255a53853 + md5: a0bc3eec34b0fab84be6b2da94e98e20 + sha256: 2ebfb971236ab825dd79dd6086ea742a9901008ffb9c6222c1f2b5172a8039d3 manager: conda name: platformdirs optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/platformdirs-4.1.0-pyhd8ed1ab_0.conda - version: 4.1.0 + url: https://conda.anaconda.org/conda-forge/noarch/platformdirs-4.2.0-pyhd8ed1ab_0.conda + version: 4.2.0 - category: main dependencies: - python: ==2.7.*|>=3.4 + python: 2.7.*|>=3.4 hash: md5: 076becd9e05608f8dc72757d5f3a91ff sha256: 74c63fd03f1f1ea2b54e8bc529fd1a600aaafb24027b738d0db87909ee3a33dc @@ -1682,16 +1682,16 @@ package: version: 1.7.1 - category: main dependencies: - python: '>=3.6' + python: '>=3.7' hash: - md5: c93346b446cd08c169d843ae5fc0da97 - sha256: 6b680e63d69aaf087cd43ca765a23838723ef59b0a328799e6363eb13f52c49e + md5: 3eeeeb9e4827ace8c0c1419c85d590ad + sha256: 1a7d6b233f7e6e3bbcbad054c8fd51e690a67b129a899a056a5e45dd9f00cb41 manager: conda name: pytz optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/pytz-2023.3.post1-pyhd8ed1ab_0.conda - version: 2023.3.post1 + url: https://conda.anaconda.org/conda-forge/noarch/pytz-2024.1-pyhd8ed1ab_0.conda + version: '2024.1' - category: main dependencies: libgcc-ng: '>=12' @@ -1713,26 +1713,26 @@ package: python: '>=3.9,<3.10.0a0' python_abi: 3.9.* *_cp39 hash: - md5: 743d996a1af8502f27770fadfd418434 - sha256: 06b089d59ffebef9c9171a8a3480adcb6e7f112caef9f8b87591207427cb1cf1 + md5: b1961e70cfe8e1eac243faf933d1813f + sha256: 32b7b4f13493eeff0d18de85d58d7b8c2b04234ea737b8769871067189c70d69 manager: conda name: ruamel.yaml.clib optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/ruamel.yaml.clib-0.2.7-py39hd1e30aa_2.conda - version: 0.2.7 + url: https://conda.anaconda.org/conda-forge/linux-64/ruamel.yaml.clib-0.2.8-py39hd1e30aa_0.conda + version: 0.2.8 - category: main dependencies: - python: '>=3.7' + python: '>=3.8' hash: - md5: 40695fdfd15a92121ed2922900d0308b - sha256: 0fe2a0473ad03dac6c7f5c42ef36a8e90673c88a0350dfefdea4b08d43803db2 + md5: 576de899521b7d43674ba3ef6eae9142 + sha256: 7a6dca60efcaa42d0ebb784950bc16230a968256cb5048a4441cb34653b5ec58 manager: conda name: setuptools optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/setuptools-69.0.3-pyhd8ed1ab_0.conda - version: 69.0.3 + url: https://conda.anaconda.org/conda-forge/noarch/setuptools-69.1.1-pyhd8ed1ab_0.conda + version: 69.1.1 - category: main dependencies: python: '' @@ -1795,30 +1795,30 @@ package: version: 0.12.3 - category: main dependencies: - python: '>=3.5' + python: '>=3.7' hash: - md5: 92facfec94bc02d6ccf42e7173831a36 - sha256: 90229da7665175b0185183ab7b53f50af487c7f9b0f47cf09c184cbc139fd24b + md5: 2fcb582444635e2c402e8569bb94e039 + sha256: 22b0a9790317526e08609d5dfdd828210ae89e6d444a9e954855fc29012e90c6 manager: conda name: toolz optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/toolz-0.12.0-pyhd8ed1ab_0.tar.bz2 - version: 0.12.0 + url: https://conda.anaconda.org/conda-forge/noarch/toolz-0.12.1-pyhd8ed1ab_0.conda + version: 0.12.1 - category: main dependencies: libgcc-ng: '>=12' python: '>=3.9,<3.10.0a0' python_abi: 3.9.* *_cp39 hash: - md5: cbe186eefb0bcd91e8f47c3908489874 - sha256: dc0df742be0e83a4286137d21f60ca829632c2ffd66d3bebb603afe5ce74cc68 + md5: 1e865e9188204cdfb1fd2531780add88 + sha256: 27ab8f208c9a8fef7341d8f603059073f445de39c52eed73218f5823212ca73c manager: conda name: tornado optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/tornado-6.3.3-py39hd1e30aa_1.conda - version: 6.3.3 + url: https://conda.anaconda.org/conda-forge/linux-64/tornado-6.4-py39hd1e30aa_0.conda + version: '6.4' - category: main dependencies: python: '>=3.8' @@ -1842,14 +1842,14 @@ package: perl: '' python: '' hash: - md5: 0b2929f84fe7d3a6117439507d5d50d9 - sha256: 1d6af07d2d19be7adc296e8b6c43bd3eb12b48d8ab8e0cec566e8f9872661813 + md5: d628a7f32222786b70ad81e56dd4a61a + sha256: 1648f885c97e5189adb12a70eef72699885a4e9e882ea385c3cd904baed251ce manager: conda name: verilator optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/verilator-5.020-h7cd9344_0.conda - version: '5.020' + url: https://conda.anaconda.org/conda-forge/linux-64/verilator-5.022-h7cd9344_0.conda + version: '5.022' - category: main dependencies: python: '>=2.6' @@ -2080,14 +2080,14 @@ package: more-itertools: '' python: '>=3.7' hash: - md5: e9f79248d30e942f7c358ff21a1790f5 - sha256: 14f5240c3834e1b784dd41a5a14392d9150dff62a74ae851f73e65d2e2bbd891 + md5: c541ae264c9f1f21d83fc30dffb908ee + sha256: 232b40de8176fa7fb66a893653f8ae03c29616e04a83dae5a47df94b74e256ca manager: conda name: jaraco.classes optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/jaraco.classes-3.3.0-pyhd8ed1ab_0.conda - version: 3.3.0 + url: https://conda.anaconda.org/conda-forge/noarch/jaraco.classes-3.3.1-pyhd8ed1ab_0.conda + version: 3.3.1 - category: main dependencies: markupsafe: '>=2.0' @@ -2122,30 +2122,29 @@ package: setuptools: '' wheel: '' hash: - md5: 8591c748f98dcc02253003533bc2e4b1 - sha256: 29096d1d53c61aeef518729add2f405df86b3629d1d738a35b15095e6a02eeed + md5: f586ac1e56c8638b64f9c8122a7b8a67 + sha256: b7c1c5d8f13e8cb491c4bd1d0d1896a4cf80fc47de01059ad77509112b664a4a manager: conda name: pip optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/pip-23.3.2-pyhd8ed1ab_0.conda - version: 23.3.2 + url: https://conda.anaconda.org/conda-forge/noarch/pip-24.0-pyhd8ed1ab_0.conda + version: '24.0' - category: main dependencies: libgcc-ng: '>=12' python: '>=3.9,<3.10.0a0' python_abi: 3.9.* *_cp39 ruamel.yaml.clib: '>=0.1.2' - setuptools: '' hash: - md5: 2b447c949fba3e4c95e7f7dae77f5ebd - sha256: 78638b0ef756443722f91a3bc0225b1ac79757be3d1f313a754c49cd3a3e2227 + md5: 2289054e90cf07e35280bbe798811dc8 + sha256: 9cfb534d18a1c060d876762806752d6a3d253727f255c65e5473810dd1dd4231 manager: conda name: ruamel.yaml optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/ruamel.yaml-0.18.5-py39hd1e30aa_0.conda - version: 0.18.5 + url: https://conda.anaconda.org/conda-forge/linux-64/ruamel.yaml-0.18.6-py39hd1e30aa_0.conda + version: 0.18.6 - category: main dependencies: typing_extensions: 4.9.0 pyha770c72_0 @@ -2179,14 +2178,14 @@ package: platformdirs: <5,>=3.9.1 python: '>=3.8' hash: - md5: c119653cba436d8183c27bf6d190e587 - sha256: 50827c3721a9dbf973b568709d4381add2a6552fa562f26a385c5edc16a534af + md5: 8797a4e26be36880a603aba29c785352 + sha256: 1ced4445cf72cd9dc344ad04bdaf703a08cc428c8c46e4bda928ad79786ee153 manager: conda name: virtualenv optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/virtualenv-20.25.0-pyhd8ed1ab_0.conda - version: 20.25.0 + url: https://conda.anaconda.org/conda-forge/noarch/virtualenv-20.25.1-pyhd8ed1ab_0.conda + version: 20.25.1 - category: main dependencies: libgcc-ng: '>=9.3.0' @@ -2220,18 +2219,18 @@ package: dependencies: cffi: '>=1.12' libgcc-ng: '>=12' - openssl: '>=3.1.4,<4.0a0' + openssl: '>=3.2.1,<4.0a0' python: '>=3.9,<3.10.0a0' python_abi: 3.9.* *_cp39 hash: - md5: c2503c6b2505714dd30d50717efe1826 - sha256: 704b189699c8eae0dff8b3d0886fb3ef5316b221676ee5d79d44575073bc9296 + md5: 74adeac31d6368a9dcf1a867a052cffa + sha256: dbde9bd3cc0400cdefbdfe7a41ddb7cb33efc472dbd291485308eb5f5830f1a9 manager: conda name: cryptography optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/cryptography-41.0.7-py39he6105cc_1.conda - version: 41.0.7 + url: https://conda.anaconda.org/conda-forge/linux-64/cryptography-42.0.5-py39hd4f0224_0.conda + version: 42.0.5 - category: main dependencies: curl: '' @@ -2289,14 +2288,14 @@ package: python_abi: 3.9.* *_cp39 typing-extensions: '>=4.6.0,!=4.7.0' hash: - md5: 26910c0ed0b85e87d5c1dd19723b2996 - sha256: 3770a69799d1366629a9944fb2eef9c15ecfd4c0d00cabee8b264d23bebf3726 + md5: 35fef239167838ec05bc714a70fbd6d9 + sha256: c0c9ebdcd812ac6c35e85a37bae966b461b5d390aeecf168611c4abd43c947a8 manager: conda name: pydantic-core optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/pydantic-core-2.14.6-py39h9fdd4d6_1.conda - version: 2.14.6 + url: https://conda.anaconda.org/conda-forge/linux-64/pydantic-core-2.16.3-py39h9fdd4d6_0.conda + version: 2.16.3 - category: main dependencies: certifi: '>=2017.4.17' @@ -2336,30 +2335,31 @@ package: python: '>=3.7' requests: '>=2.16.0' hash: - md5: 174bd699bb5aa9e2622eb4b288276ff8 - sha256: aae7ab3a54989f9bf9273e4a17c911ba339a8b9354250bc11fb8eff2e3f4be60 + md5: a661c39e223bf3038b38126b0bbf43d9 + sha256: 3318732d60456c5ecc0db14a7343a320ea88e05ae168aea4164d7f9ec7907142 manager: conda name: cachecontrol optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/cachecontrol-0.13.1-pyhd8ed1ab_0.conda - version: 0.13.1 + url: https://conda.anaconda.org/conda-forge/noarch/cachecontrol-0.14.0-pyhd8ed1ab_0.conda + version: 0.14.0 - category: main dependencies: appdirs: '' click: '>=5.1' filelock: '' + packaging: '' python: '>=3.7' requests: '>=2' hash: - md5: c99ae3abf501990769047b4b40a98f17 - sha256: b71784b6c24d2320b2f796d074e75e7dd1be7b7fc0f719c5cf3a582270b368d6 + md5: e54a91c3a65491b13c68f7696425bac8 + sha256: a115afdc676c95a17ab63bbda84b7b724bc8817ae54fa34f8991339252424959 manager: conda name: ensureconda optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/ensureconda-1.4.3-pyhd8ed1ab_0.tar.bz2 - version: 1.4.3 + url: https://conda.anaconda.org/conda-forge/noarch/ensureconda-1.4.4-pyhd8ed1ab_0.conda + version: 1.4.4 - category: main dependencies: alsa-lib: '>=1.2.10,<1.2.11.0a0' @@ -2393,18 +2393,18 @@ package: - category: main dependencies: annotated-types: '>=0.4.0' - pydantic-core: 2.14.6 + pydantic-core: 2.16.3 python: '>=3.7' typing-extensions: '>=4.6.1' hash: - md5: 3569001fd8b37e542aaefdf5de124e19 - sha256: 07ec778cbf17737b740f0547fb5cba91a7bff52945fe637287458e4119c58ffe + md5: b6343b653c5ca8fb18af03f3f5d1cd9f + sha256: ff6728ec56f8cc5d0c6dba999de6299f3ce4aa2624b552194dafdb5af1c7fecd manager: conda name: pydantic optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/pydantic-2.5.3-pyhd8ed1ab_0.conda - version: 2.5.3 + url: https://conda.anaconda.org/conda-forge/noarch/pydantic-2.6.2-pyhd8ed1ab_0.conda + version: 2.6.2 - category: main dependencies: cryptography: '' @@ -2423,18 +2423,18 @@ package: version: 3.3.3 - category: main dependencies: - cachecontrol: 0.13.1 pyhd8ed1ab_0 + cachecontrol: 0.14.0 pyhd8ed1ab_0 filelock: '>=3.8.0' python: '>=3.7' hash: - md5: 8c4781ca0893cff3a64423954ce234a1 - sha256: 7fd3cd4a667da284ae3aad9b8cb4d592099bc02ed6566cbae00bd8c0b0604e85 + md5: 4c08fa6e7d1d3f124ad815e21b2210e9 + sha256: 89a9061aafc28c0e0e2db49a5b99e99797ed3a7127c31deda0cceb4696ae627f manager: conda name: cachecontrol-with-filecache optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/cachecontrol-with-filecache-0.13.1-pyhd8ed1ab_0.conda - version: 0.13.1 + url: https://conda.anaconda.org/conda-forge/noarch/cachecontrol-with-filecache-0.14.0-pyhd8ed1ab_0.conda + version: 0.14.0 - category: main dependencies: importlib_metadata: '>=4.11.4' @@ -2501,18 +2501,19 @@ package: version: 1.4.0 - category: main dependencies: - livereload: '>=2.3.0' - python: '>=3.6' + colorama: '' + livereload: '' + python: '>=3.9' sphinx: '' hash: - md5: 1909f784dc37b4ab97afe2c95aeeabaa - sha256: 1c07ab809254c2454c5417c5be01af2dc8bcaae2f3315a0a9d8812997ede8297 + md5: 13996799cc0b00919a3c1b9b02e02217 + sha256: 13e095036649519562f4d1add7eb16004f8ad65efb854b11e836d48bb57e5df9 manager: conda name: sphinx-autobuild optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/sphinx-autobuild-2021.3.14-pyhd8ed1ab_0.tar.bz2 - version: 2021.3.14 + url: https://conda.anaconda.org/conda-forge/noarch/sphinx-autobuild-2024.2.4-pyhd8ed1ab_0.conda + version: 2024.2.4 - category: main dependencies: python: '>=3.9' diff --git a/conda-reqs/conda-lock-reqs/conda-requirements-esp-tools-linux-64.conda-lock.yml b/conda-reqs/conda-lock-reqs/conda-requirements-esp-tools-linux-64.conda-lock.yml index e593514313..1f16443c23 100644 --- a/conda-reqs/conda-lock-reqs/conda-requirements-esp-tools-linux-64.conda-lock.yml +++ b/conda-reqs/conda-lock-reqs/conda-requirements-esp-tools-linux-64.conda-lock.yml @@ -9,7 +9,7 @@ # To update a single package to the latest version compatible with the version constraints in the source: # conda-lock lock --lockfile conda-requirements-esp-tools-linux-64.conda-lock.yml --update PACKAGE # To re-solve the entire environment, e.g. after changing a version constraint in the source file: -# conda-lock -f /scratch/joonho.whangbo/coding/chipyard-release-118/conda-reqs/chipyard-base.yaml -f /scratch/joonho.whangbo/coding/chipyard-release-118/conda-reqs/chipyard-extended.yaml -f /scratch/joonho.whangbo/coding/chipyard-release-118/conda-reqs/docs.yaml -f /scratch/joonho.whangbo/coding/chipyard-release-118/conda-reqs/esp-tools.yaml --lockfile conda-requirements-esp-tools-linux-64.conda-lock.yml +# conda-lock -f /scratch/vighneshiyer/chipyard-verilator/conda-reqs/chipyard-base.yaml -f /scratch/vighneshiyer/chipyard-verilator/conda-reqs/chipyard-extended.yaml -f /scratch/vighneshiyer/chipyard-verilator/conda-reqs/docs.yaml -f /scratch/vighneshiyer/chipyard-verilator/conda-reqs/esp-tools.yaml --lockfile conda-requirements-esp-tools-linux-64.conda-lock.yml metadata: channels: - url: ucb-bar @@ -21,14 +21,14 @@ metadata: - url: nodefaults used_env_vars: [] content_hash: - linux-64: e6f7ea5e7ade6d5e422a65ad3ec62aabcadde05413e29340a1a234e7806c2764 + linux-64: 511690ace5cbcb51d8cea369b2a764939d7a99afccda2977c1f3aec12292420b platforms: - linux-64 sources: - - /scratch/joonho.whangbo/coding/chipyard-release-118/conda-reqs/chipyard-base.yaml - - /scratch/joonho.whangbo/coding/chipyard-release-118/conda-reqs/chipyard-extended.yaml - - /scratch/joonho.whangbo/coding/chipyard-release-118/conda-reqs/docs.yaml - - /scratch/joonho.whangbo/coding/chipyard-release-118/conda-reqs/esp-tools.yaml + - /scratch/vighneshiyer/chipyard-verilator/conda-reqs/chipyard-base.yaml + - /scratch/vighneshiyer/chipyard-verilator/conda-reqs/chipyard-extended.yaml + - /scratch/vighneshiyer/chipyard-verilator/conda-reqs/docs.yaml + - /scratch/vighneshiyer/chipyard-verilator/conda-reqs/esp-tools.yaml package: - category: main dependencies: {} @@ -44,13 +44,13 @@ package: - category: main dependencies: {} hash: - md5: f6ce7955b53ae1ca83144adb3be9c600 - sha256: 546e4eb1cbd822a66b363ec3fbdcc4fcc53853bcab57674cb46b8f3209b856f2 + md5: 38d211c448a67f12fe693fe25df4da23 + sha256: 0dbeaddc3d5134b5336c52ac05642533b8d1ba2e1316aa92981f4cf5b5388de0 manager: conda name: _sysroot_linux-64_curr_repodata_hack optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/_sysroot_linux-64_curr_repodata_hack-3-h69a702a_13.conda + url: https://conda.anaconda.org/conda-forge/noarch/_sysroot_linux-64_curr_repodata_hack-3-h69a702a_14.conda version: '3' - category: main dependencies: {} @@ -66,14 +66,14 @@ package: - category: main dependencies: {} hash: - md5: 01ffc8d36f9eba0ce0b3c1955fa780ee - sha256: fb4b9f4b7d885002db0b93e22f44b5b03791ef3d4efdc9d0662185a0faafd6b6 + md5: 2f4327a1cbe7f022401b236e915a5fef + sha256: 91d81bfecdbb142c15066df70cc952590ae8991670198f92c66b62019b251aeb manager: conda name: ca-certificates optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/ca-certificates-2023.11.17-hbcca054_0.conda - version: 2023.11.17 + url: https://conda.anaconda.org/conda-forge/linux-64/ca-certificates-2024.2.2-hbcca054_0.conda + version: 2024.2.2 - category: main dependencies: {} hash: @@ -143,47 +143,47 @@ package: - category: main dependencies: {} hash: - md5: 2390dcf3fd78c2c426f1c5b6664fa4b7 - sha256: 12904b795e5cb877ce6a7bb30feba9bfbe1dd38bd5f520e6af8d89a51bb6b6a6 + md5: fb94f6b17ef1a75faac2e06937dc4223 + sha256: 86d1e11bf0b8dbc74fec07f3c71bb1b20f83e32b5b9f8625b3dc653ce00e40bd manager: conda name: libgcc-devel_linux-64 optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/libgcc-devel_linux-64-11.4.0-h922705a_103.conda + url: https://conda.anaconda.org/conda-forge/noarch/libgcc-devel_linux-64-11.4.0-h922705a_105.conda version: 11.4.0 - category: main dependencies: {} hash: - md5: be10a739476d68c464101836773463f1 - sha256: 4957848888d7a8cdc77c605357e759f0c9b08c18510f08a205f567666602ca2b + md5: a884fe2f11c6167f3dc62d4b1db20ced + sha256: 20c4f2b96b8fb57a3cad0bb8f1ce407ee7bc935cb0ce68b430b10b77616c0b16 manager: conda name: libstdcxx-devel_linux-64 optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/libstdcxx-devel_linux-64-11.4.0-h922705a_103.conda + url: https://conda.anaconda.org/conda-forge/noarch/libstdcxx-devel_linux-64-11.4.0-h922705a_105.conda version: 11.4.0 - category: main dependencies: {} hash: - md5: 937eaed008f6bf2191c5fe76f87755e9 - sha256: 6c6c49efedcc5709a66f19fb6b26b69c6a5245310fd1d9a901fd5e38aaf7f882 + md5: f6f6600d18a4047b54f803cf708b868a + sha256: a56c5b11f1e73a86e120e6141a42d9e935a99a2098491ac9e15347a1476ce777 manager: conda name: libstdcxx-ng optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/libstdcxx-ng-13.2.0-h7e041cc_3.conda + url: https://conda.anaconda.org/conda-forge/linux-64/libstdcxx-ng-13.2.0-h7e041cc_5.conda version: 13.2.0 - category: main dependencies: {} hash: - md5: ca8ce27415e2e304ec35b3de2af12364 - sha256: b6f56d6c0a95dbd27bdcd5c666781c58c037850cebbaf62536a4fdf2bdcf2a2e + md5: 45965b2693535c0b8a1f8a71f416d22d + sha256: 7327eb9b9b343f7c4b8ba0b7dce5a022c72fbbb0f56b9a780f6c90276ef19072 manager: conda name: open_pdks.sky130a optional: false platform: linux-64 - url: https://conda.anaconda.org/litex-hub/noarch/open_pdks.sky130a-1.0.460_0_gfdb1863-20231104_052339.tar.bz2 - version: 1.0.460_0_gfdb1863 + url: https://conda.anaconda.org/litex-hub/noarch/open_pdks.sky130a-1.0.470_0_g6d4d117-20240223_100318.tar.bz2 + version: 1.0.470_0_g6d4d117 - category: main dependencies: {} hash: @@ -198,14 +198,14 @@ package: - category: main dependencies: {} hash: - md5: 8dee24b8be2d9ff81e7bd4d7d97ff1b0 - sha256: 04f2ab3e36f2015841551415bf16bf62933bd94b7085d4be5493b388e95a9c3d + md5: 161081fc7cec0bfda0d86d7cb595f8d8 + sha256: 7b2b69c54ec62a243eb6fba2391b5e443421608c3ae5dbff938ad33ca8db5122 manager: conda name: tzdata optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/tzdata-2023d-h0c530f3_0.conda - version: 2023d + url: https://conda.anaconda.org/conda-forge/noarch/tzdata-2024a-h0c530f3_0.conda + version: 2024a - category: main dependencies: font-ttf-dejavu-sans-mono: '' @@ -225,25 +225,25 @@ package: dependencies: _sysroot_linux-64_curr_repodata_hack: 3.* hash: - md5: 523bc836a954faf0cca94831971bb85a - sha256: 67a3caa56e2a59f407f3d290437b865aaf996873006e2fcfca6295d0f0be8db9 + md5: f6c14886b1695a2462d296176e831080 + sha256: 604b9345a7a1474b7eb33260e382446754d16eed754b853d7571d0e39bfc7737 manager: conda name: kernel-headers_linux-64 optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/kernel-headers_linux-64-3.10.0-h4a8ded7_13.tar.bz2 + url: https://conda.anaconda.org/conda-forge/noarch/kernel-headers_linux-64-3.10.0-h4a8ded7_14.conda version: 3.10.0 - category: main dependencies: _libgcc_mutex: 0.1 conda_forge hash: - md5: 7124cbb46b13d395bdde68f2d215c989 - sha256: 6ebedee39b6bbbc969715d0d7fa4b381cce67e1139862604ffa393f821c08e81 + md5: d211c42b9ce49aee3734fdc828731689 + sha256: 0d3d4b1b0134283ea02d58e8eb5accf3655464cf7159abf098cc694002f8d34e manager: conda name: libgomp optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/libgomp-13.2.0-h807b86a_3.conda + url: https://conda.anaconda.org/conda-forge/linux-64/libgomp-13.2.0-h807b86a_5.conda version: 13.2.0 - category: main dependencies: @@ -273,15 +273,15 @@ package: - category: main dependencies: _sysroot_linux-64_curr_repodata_hack: 3.* - kernel-headers_linux-64: 3.10.0 h4a8ded7_13 + kernel-headers_linux-64: 3.10.0 h4a8ded7_14 hash: - md5: 57e5a5191ffe999b9f4dfdbcd0ddcba4 - sha256: f09f2fea4b571dcd225f1e35bd3c851e809cd4c2f5f151438133969ab28478e5 + md5: d5f7a1cca06f56e6ac2b525f199f9b9e + sha256: af0f9ed466f97e3910c1d867ab44c974e01383cb408baa38606265964c7f0857 manager: conda name: sysroot_linux-64 optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/sysroot_linux-64-2.17-h4a8ded7_13.tar.bz2 + url: https://conda.anaconda.org/conda-forge/noarch/sysroot_linux-64-2.17-h4a8ded7_14.conda version: '2.17' - category: main dependencies: @@ -301,13 +301,13 @@ package: _libgcc_mutex: 0.1 conda_forge _openmp_mutex: '>=4.5' hash: - md5: 23fdf1fef05baeb7eadc2aed5fb0011f - sha256: 5e88f658e07a30ab41b154b42c59f079b168acfa9551a75bdc972099453f4105 + md5: d4ff227c46917d3b4565302a2bbb276b + sha256: d32f78bfaac282cfe5205f46d558704ad737b8dbf71f9227788a5ca80facaba4 manager: conda name: libgcc-ng optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/libgcc-ng-13.2.0-h807b86a_3.conda + url: https://conda.anaconda.org/conda-forge/linux-64/libgcc-ng-13.2.0-h807b86a_5.conda version: 13.2.0 - category: main dependencies: @@ -373,14 +373,14 @@ package: dependencies: libgcc-ng: '>=12' hash: - md5: 89e40af02dd3a0846c0c1131c5126706 - sha256: c4bbdafd6791583e3c77e8ed0e1df9e0021d542249c3543de3d72788f5c8a0c4 + md5: f6afff0e9ee08d2f1b897881a4f38cdb + sha256: 2a5866b19d28cb963fab291a62ff1c884291b9d6f59de14643e52f103e255749 manager: conda name: c-ares optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/c-ares-1.25.0-hd590300_0.conda - version: 1.25.0 + url: https://conda.anaconda.org/conda-forge/linux-64/c-ares-1.27.0-hd590300_0.conda + version: 1.27.0 - category: main dependencies: libgcc-ng: '>=12' @@ -535,14 +535,14 @@ package: libgcc-ng: '>=12' libstdcxx-ng: '>=12' hash: - md5: 2785ddf4cb0e7e743477991d64353947 - sha256: 8729021a93e67bb93b4e73ef0a132499db516accfea11561b667635bcd0507e7 + md5: 382a84d056794384ed6ac72dc434e586 + sha256: 61009cc1fd9e8fa745aec2427849bcc95f7387c7c3f13780b03b02baa820a3e4 manager: conda name: libabseil optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/libabseil-20230802.1-cxx17_h59595ed_0.conda - version: '20230802.1' + url: https://conda.anaconda.org/conda-forge/linux-64/libabseil-20240116.1-cxx17_h59595ed_1.conda + version: '20240116.1' - category: main dependencies: libgcc-ng: '>=12' @@ -619,13 +619,13 @@ package: dependencies: libgcc-ng: '>=13.2.0' hash: - md5: c714d905cdfa0e70200f68b80cc04764 - sha256: 0084a1d29a4f8ee3b8edad80eb6c42e5f0480f054f28cf713fb314bebb347a50 + md5: 7a6bd7a12a4bd359e2afe6c0fa1acace + sha256: ba8d94e8493222ce155bb264d9de4200e41498a458e866fedf444de809bde8b6 manager: conda name: libgfortran5 optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/libgfortran5-13.2.0-ha4646dd_3.conda + url: https://conda.anaconda.org/conda-forge/linux-64/libgfortran5-13.2.0-ha4646dd_5.conda version: 13.2.0 - category: main dependencies: @@ -667,13 +667,13 @@ package: dependencies: libgcc-ng: '>=11.4.0' hash: - md5: e6f89a7be0ec320c1b1746971045b0e7 - sha256: c4c5c87f5c717e83299740f6a20393f9a131f05e2e9df63faafd6bb71dc58346 + md5: 47a9846c7679f8381b06fc5052ab4a4b + sha256: fc00e9a71c07446cf1744bd1d5cd3efa6dfd3a7db6c2c8a82853f19b8b1416f8 manager: conda name: libsanitizer optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/libsanitizer-11.4.0-h4dcbe23_3.conda + url: https://conda.anaconda.org/conda-forge/linux-64/libsanitizer-11.4.0-h4dcbe23_5.conda version: 11.4.0 - category: main dependencies: @@ -727,14 +727,14 @@ package: dependencies: libgcc-ng: '>=12' hash: - md5: d23c76f7e6dcd6243d1b6ef5e62d17d2 - sha256: 4bc4c946e9a532c066442714eeeeb1ffbd03cd89789c4047293f5e782b5fedd7 + md5: a7a94e1b751a9fe2be88f3934b3a0739 + sha256: 53bd8f6bebc85555c5dd648072693e37fcdf777f993e9a108c4a7badf2e8810c manager: conda name: libuv optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/libuv-1.46.0-hd590300_0.conda - version: 1.46.0 + url: https://conda.anaconda.org/conda-forge/linux-64/libuv-1.47.0-hd590300_0.conda + version: 1.47.0 - category: main dependencies: libgcc-ng: '>=12' @@ -861,14 +861,14 @@ package: ca-certificates: '' libgcc-ng: '>=12' hash: - md5: 603827b39ea2b835268adb8c821b8570 - sha256: 80efc6f429bd8e622d999652e5cba2ca56fcdb9c16a439d2ce9b4313116e4a87 + md5: 51a753e64a3027bd7e23a189b1f6e91e + sha256: c02c12bdb898daacf7eb3d09859f93ea8f285fd1a6132ff6ff0493ab52c7fe57 manager: conda name: openssl optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/openssl-3.2.0-hd590300_1.conda - version: 3.2.0 + url: https://conda.anaconda.org/conda-forge/linux-64/openssl-3.2.1-hd590300_0.conda + version: 3.2.1 - category: main dependencies: libgcc-ng: '>=9.3.0' @@ -886,14 +886,14 @@ package: libgcc-ng: '>=12' libstdcxx-ng: '>=12' hash: - md5: 6b4b43013628634b6cfdee6b74fd696b - sha256: 07a5ffcd34e241f900433af4c6d4904518aab76add4e1e40a2c4bad93ae43f2b + md5: 71004cbf7924e19c02746ccde9fd7123 + sha256: 366d28e2a0a191d6c535e234741e0cd1d94d713f76073d8af4a5ccb2a266121e manager: conda name: pixman optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/pixman-0.43.0-h59595ed_0.conda - version: 0.43.0 + url: https://conda.anaconda.org/conda-forge/linux-64/pixman-0.43.2-h59595ed_0.conda + version: 0.43.2 - category: main dependencies: libgcc-ng: '>=7.5.0' @@ -1221,20 +1221,20 @@ package: - category: main dependencies: binutils_impl_linux-64: '>=2.39' - libgcc-devel_linux-64: 11.4.0 h922705a_103 + libgcc-devel_linux-64: 11.4.0 h922705a_105 libgcc-ng: '>=11.4.0' libgomp: '>=11.4.0' - libsanitizer: 11.4.0 h4dcbe23_3 + libsanitizer: 11.4.0 h4dcbe23_5 libstdcxx-ng: '>=11.4.0' sysroot_linux-64: '' hash: - md5: b17749a6ae62cf9a5eafd96a6bcaad0e - sha256: 24d34853611b8758c40fa9d6a0d0b738312f51af9fed8fa6c6422f15ec475763 + md5: dd619b391c1c85728a6c70aac733e0a8 + sha256: b354a25c5eee51c7f2d9bd1232d445302068e55e540eddddf32bf96cc54f48b9 manager: conda name: gcc_impl_linux-64 optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/gcc_impl_linux-64-11.4.0-h7aa1c59_3.conda + url: https://conda.anaconda.org/conda-forge/linux-64/gcc_impl_linux-64-11.4.0-h7aa1c59_5.conda version: 11.4.0 - category: main dependencies: @@ -1290,30 +1290,44 @@ package: version: 3.1.20191231 - category: main dependencies: - libgfortran5: 13.2.0 ha4646dd_3 + libgfortran5: 13.2.0 ha4646dd_5 hash: - md5: 73031c79546ad06f1fe62e57fdd021bc - sha256: 5b918950b84605b6865de438757f507b1eff73c96fd562f7022c80028b088c14 + md5: e73e9cfd1191783392131e6238bdb3e9 + sha256: 238c16c84124d58307376715839aa152bd4a1bf5a043052938ad6c3137d30245 manager: conda name: libgfortran-ng optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/libgfortran-ng-13.2.0-h69a702a_3.conda + url: https://conda.anaconda.org/conda-forge/linux-64/libgfortran-ng-13.2.0-h69a702a_5.conda version: 13.2.0 +- category: main + dependencies: + gettext: '>=0.21.1,<1.0a0' + libgcc-ng: '>=12' + libstdcxx-ng: '>=12' + hash: + md5: 4d18d86916705d352d5f4adfb7f0edd3 + sha256: c448c6d86d27e10b9e844172000540e9cbfe9c28f968db87f949ba05add9bd50 + manager: conda + name: libgpg-error + optional: false + platform: linux-64 + url: https://conda.anaconda.org/conda-forge/linux-64/libgpg-error-1.48-h71f35ed_0.conda + version: '1.48' - category: main dependencies: gettext: '>=0.21.1,<1.0a0' libgcc-ng: '>=12' libunistring: '>=0,<1.0a0' hash: - md5: 7440fbafd870b8bab68f83a064875d34 - sha256: 888848ae85be9df86f56407639c63bdce8e7651f0b2517be9bc0ac6e38b2d21d + md5: 2b7b0d827c6447cc1d85dc06d5b5de46 + sha256: 253f9be445c58bf07b39d8f67ac08bccc5010c75a8c2070cddfb6c20e1ca4f4f manager: conda name: libidn2 optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/libidn2-2.3.4-h166bdaf_0.tar.bz2 - version: 2.3.4 + url: https://conda.anaconda.org/conda-forge/linux-64/libidn2-2.3.7-hd590300_0.conda + version: 2.3.7 - category: main dependencies: libgcc-ng: '>=12' @@ -1349,42 +1363,42 @@ package: libgcc-ng: '>=12' libzlib: '>=1.2.13,<1.3.0a0' hash: - md5: e1c890aebdebbfbf87e2c917187b4416 - sha256: a32b36d34e4f2490b99bddbc77d01a674d304f667f0e62c89e02c961addef462 + md5: 009981dd9cfcaa4dbfa25ffaed86bcae + sha256: 502f6ff148ac2777cc55ae4ade01a8fc3543b4ffab25c4e0eaa15f94e90dd997 manager: conda name: libpng optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/libpng-1.6.39-h753d276_0.conda - version: 1.6.39 + url: https://conda.anaconda.org/conda-forge/linux-64/libpng-1.6.43-h2797004_0.conda + version: 1.6.43 - category: main dependencies: - libabseil: '>=20230802.1,<20230803.0a0' + libabseil: '>=20240116.1,<20240117.0a0' libgcc-ng: '>=12' libstdcxx-ng: '>=12' libzlib: '>=1.2.13,<1.3.0a0' hash: - md5: 1a0287ab734591ad63603734f923016b - sha256: 3e0f6454190abb27edd2aeb724688ee440de133edb02cbb17d5609ba36aa8be0 + md5: babbc506d2626698412c2e4ade78a20a + sha256: 433a82d1fc0d0fe78d93c34e4665bd0c931eb2e528be076875226ddf87e0d80a manager: conda name: libprotobuf optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/libprotobuf-4.24.4-hf27288f_0.conda - version: 4.24.4 + url: https://conda.anaconda.org/conda-forge/linux-64/libprotobuf-4.25.2-h08a7969_1.conda + version: 4.25.2 - category: main dependencies: libgcc-ng: '>=12' libzlib: '>=1.2.13,<1.3.0a0' hash: - md5: 3b6a9f225c3dbe0d24f4fedd4625c5bf - sha256: ee2c4d724a3ed60d5b458864d66122fb84c6ce1df62f735f90d8db17b66cd88a + md5: fc4ccadfbf6d4784de88c41704792562 + sha256: 1b379d1c652b25d0540251d422ef767472e768fd36b77261045e97f9ba6d3faa manager: conda name: libsqlite optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/libsqlite-3.44.2-h2797004_0.conda - version: 3.44.2 + url: https://conda.anaconda.org/conda-forge/linux-64/libsqlite-3.45.1-h2797004_0.conda + version: 3.45.1 - category: main dependencies: libgcc-ng: '>=12' @@ -1422,14 +1436,14 @@ package: libzlib: '>=1.2.13,<1.3.0a0' xz: '>=5.2.6,<6.0a0' hash: - md5: 53e951fab78d7e3bab40745f7b3d1620 - sha256: f6828b44da29bbfbf367ddbc72902e84ea5f5de933be494d6aac4a35826afed0 + md5: c442ebfda7a475f5e78f1c8e45f1e919 + sha256: db9bf97e9e367985204331b58a059ebd5a4e0cb9e1c8754e9ecb23046b7b7bc1 manager: conda name: libxml2 optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/libxml2-2.12.4-h232c23b_1.conda - version: 2.12.4 + url: https://conda.anaconda.org/conda-forge/linux-64/libxml2-2.12.5-h232c23b_0.conda + version: 2.12.5 - category: main dependencies: libgcc-ng: '>=7.3.0' @@ -1663,14 +1677,14 @@ package: libgcc-ng: '>=12' readline: '>=8.2,<9.0a0' hash: - md5: 91824b6e55d580d39050fa4ff8e26edd - sha256: 20aed66138e13e1906ea925ee9ad661749492fc57762d2b4b6d631635274be7d + md5: c3f99059d4c3a2285f3c29c86c462c0f + sha256: 7543ca8fc7941974cb012b2ff8d842ff83e0365ff21bd2c7fdbbd468511b6f80 manager: conda name: bash optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/bash-5.2.15-h7f99829_1.conda - version: 5.2.15 + url: https://conda.anaconda.org/conda-forge/linux-64/bash-5.2.21-h7f99829_0.conda + version: 5.2.21 - category: main dependencies: flex: '' @@ -1703,13 +1717,13 @@ package: dependencies: gcc_impl_linux-64: '>=11.4.0,<11.4.1.0a0' hash: - md5: 42003d7074d4daaf287d19987cd927bf - sha256: 2c30ddc6cb51a03fb5f671f55eaabcb9208d6ce701e6de2234518eec43a6f2d1 + md5: f400dd0a481abdfff466337623081d1a + sha256: 33504fd9020cd95f66c1d096112634c12e8bfd813d2bcd52ede90626c4768d3b manager: conda name: conda-gcc-specs optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/conda-gcc-specs-11.4.0-h240829a_3.conda + url: https://conda.anaconda.org/conda-forge/linux-64/conda-gcc-specs-11.4.0-h240829a_5.conda version: 11.4.0 - category: main dependencies: @@ -1783,17 +1797,17 @@ package: version: 3.7.9 - category: main dependencies: - gcc_impl_linux-64: 11.4.0 h7aa1c59_3 - libstdcxx-devel_linux-64: 11.4.0 h922705a_103 + gcc_impl_linux-64: 11.4.0 h7aa1c59_5 + libstdcxx-devel_linux-64: 11.4.0 h922705a_105 sysroot_linux-64: '' hash: - md5: dbee4be21eb6dbf596afddf60ff120bf - sha256: 424d9989ea82e6777e569de6873cc56229a8982e274409c344473ad89bb7bbb7 + md5: 99ef88bf2364edd566e9bfec9db2bf95 + sha256: 391b83e5cf7a31f49c3d2147dcc146a62a0a98d2c73e629680b6263b8e2c9df4 manager: conda name: gxx_impl_linux-64 optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/gxx_impl_linux-64-11.4.0-h7aa1c59_3.conda + url: https://conda.anaconda.org/conda-forge/linux-64/gxx_impl_linux-64-11.4.0-h7aa1c59_5.conda version: 11.4.0 - category: main dependencies: @@ -1831,6 +1845,19 @@ package: platform: linux-64 url: https://conda.anaconda.org/conda-forge/linux-64/libarchive-3.5.2-hada088e_3.tar.bz2 version: 3.5.2 +- category: main + dependencies: + libgcc-ng: '>=12' + libgpg-error: '>=1.47,<2.0a0' + hash: + md5: 32d16ad533c59bb0a3c5ffaf16110829 + sha256: d1bd47faa29fec7288c7b212198432b07f890d3d6f646078da93b059c2e9daff + manager: conda + name: libgcrypt + optional: false + platform: linux-64 + url: https://conda.anaconda.org/conda-forge/linux-64/libgcrypt-1.10.3-hd590300_0.conda + version: 1.10.3 - category: main dependencies: gettext: '>=0.21.1,<1.0a0' @@ -1841,14 +1868,14 @@ package: libzlib: '>=1.2.13,<1.3.0a0' pcre2: '>=10.42,<10.43.0a0' hash: - md5: 9bd06b12bbfa6fd1740fd23af4b0f0c7 - sha256: b1b594294a0fe4c9a51596ef027efed9268d60827e8ae61fb7545c521a631e33 + md5: d86baf8740d1a906b9716f2a0bac2f2d + sha256: 3a03a5254d2fd29c1e0ffda7250e22991dfbf2c854301fd56c408d97a647cfbd manager: conda name: libglib optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/libglib-2.78.3-h783c2da_0.conda - version: 2.78.3 + url: https://conda.anaconda.org/conda-forge/linux-64/libglib-2.78.4-h783c2da_0.conda + version: 2.78.4 - category: main dependencies: libgcc-ng: '>=12' @@ -1871,14 +1898,14 @@ package: libgfortran-ng: '' libgfortran5: '>=12.3.0' hash: - md5: d172b34a443b95f86089e8229ddc9a17 - sha256: 628564517895ee1b09cf72c817548bd80ef1acce6a8214a8520d9f7b44c4cfaf + md5: 760ae35415f5ba8b15d09df5afe8b23a + sha256: b626954b5a1113dafec8df89fa8bf18ce9b4701464d9f084ddd7fc9fac404bbd manager: conda name: libopenblas optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/libopenblas-0.3.25-pthreads_h413a1c8_0.conda - version: 0.3.25 + url: https://conda.anaconda.org/conda-forge/linux-64/libopenblas-0.3.26-pthreads_h413a1c8_0.conda + version: 0.3.26 - category: main dependencies: lerc: '>=4.0.0,<5.0a0' @@ -1902,20 +1929,20 @@ package: - category: main dependencies: libgcc-ng: '>=12' - libprotobuf: '>=4.24.4,<4.24.5.0a0' + libprotobuf: '>=4.25.2,<4.25.3.0a0' libstdcxx-ng: '>=12' libzlib: '>=1.2.13,<1.3.0a0' ncurses: '>=6.4,<7.0a0' - openssl: '>=3.2.0,<4.0a0' + openssl: '>=3.2.1,<4.0a0' perl: '>=5.32.1,<5.33.0a0 *_perl5' hash: - md5: 194cc570b66f8726e92eaa58d141b935 - sha256: 30ac68088afe7568df797549a091556cf55ea28154a3f27cb524005ea4be6086 + md5: cdedc8ae2f54cc9da07c357a18af8adf + sha256: 06ba81bbbd4a0399f59941c9580b898b76064d800fb89b68f3ce24fa2624ded7 manager: conda name: mosh optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/mosh-1.4.0-pl5321h2f1bd5e_5.conda + url: https://conda.anaconda.org/conda-forge/linux-64/mosh-1.4.0-pl5321h092b9fe_7.conda version: 1.4.0 - category: main dependencies: @@ -1979,19 +2006,19 @@ package: - category: main dependencies: libgcc-ng: '>=12' - libsqlite: 3.44.2 h2797004_0 + libsqlite: 3.45.1 h2797004_0 libzlib: '>=1.2.13,<1.3.0a0' ncurses: '>=6.4,<7.0a0' readline: '>=8.2,<9.0a0' hash: - md5: 4f2892c672829693fd978d065db4e8be - sha256: bae479520fe770fe11996b4c240923ed097f851fbd2401d55540e551c9dbbef7 + md5: 93acf31b379acebada263b9bce3dc6ed + sha256: a7cbde68eff5d2ec9bb1b5f2604a523949048a9b5335588eac2d893fd0dd5200 manager: conda name: sqlite optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/sqlite-3.44.2-h2c6b66d_0.conda - version: 3.44.2 + url: https://conda.anaconda.org/conda-forge/linux-64/sqlite-3.45.1-h2c6b66d_0.conda + version: 3.45.1 - category: main dependencies: libgcc-ng: '>=9.4.0' @@ -2064,14 +2091,14 @@ package: dependencies: python: '>=3.8' hash: - md5: 0801250abb3d5b86010e20324f0dde94 - sha256: af221f16a23feea4d91db75b35c5720c9c448814af815fe6d45c5627eb75e897 + md5: 4017741f57d9bbf3cf184ca147859f78 + sha256: 8a1d1f92d40c6686d10ecce290a42560d023ecc02676f54dcfedfc0ede354f52 manager: conda name: argcomplete optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/argcomplete-3.2.1-pyhd8ed1ab_0.conda - version: 3.2.1 + url: https://conda.anaconda.org/conda-forge/noarch/argcomplete-3.2.2-pyhd8ed1ab_0.conda + version: 3.2.2 - category: main dependencies: libgcc-ng: '>=12' @@ -2158,7 +2185,7 @@ package: version: 1.7.0 - category: main dependencies: - python: ==2.7.*|>=3.7 + python: 2.7.*|>=3.7 hash: md5: 56febe65315cc388a5d20adf2b39a74d sha256: 0ff5173f6b20a5c95401aa52d10dbdc05c322fc568dc7417c6f76cf68e706d16 @@ -2214,14 +2241,14 @@ package: dependencies: python: '>=3.7' hash: - md5: 2011bcf45376341dd1d690263fdbc789 - sha256: afa22b77128a812cb57bc707c297d926561bd225a3d9dd74205d87a3b2d14a96 + md5: 0876280e409658fc6f9e75d035960333 + sha256: f1faca020f988696e6b6ee47c82524c7806380b37cfdd1def32f92c326caca54 manager: conda name: certifi optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/certifi-2023.11.17-pyhd8ed1ab_0.conda - version: 2023.11.17 + url: https://conda.anaconda.org/conda-forge/noarch/certifi-2024.2.2-pyhd8ed1ab_0.conda + version: 2024.2.2 - category: main dependencies: python: '>=3.6.1' @@ -2433,14 +2460,14 @@ package: dependencies: python: '>=3.8' hash: - md5: bf40f2a8835b78b1f91083d306b493d2 - sha256: 9269a5464698e0fde1f9c78544552817370c26df86e2a5a7518544b6a55ae8ee + md5: fad86b90138cf5d82c6f5a2ed6e683d9 + sha256: 3f7e123dd82fe99450d1e0ffa389e8218ef8c9ee257c836e21b489548c039ae6 manager: conda name: fsspec optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/fsspec-2023.12.2-pyhca7485f_0.conda - version: 2023.12.2 + url: https://conda.anaconda.org/conda-forge/noarch/fsspec-2024.2.0-pyhca7485f_0.conda + version: 2024.2.0 - category: main dependencies: libgcc-ng: '>=12' @@ -2657,15 +2684,15 @@ package: version: '2.16' - category: main dependencies: - libopenblas: '>=0.3.25,<1.0a0' + libopenblas: '>=0.3.26,<1.0a0' hash: - md5: 2b7bb4f7562c8cf334fc2e20c2d28abc - sha256: 8a0ee1de693a9b3da4a11b95ec81b40dd434bd01fa1f5f38f8268cd2146bf8f0 + md5: 0ac9f44fc096772b0aa092119b00c3ca + sha256: ebd5c91f029f779fb88a1fcbd1e499559a9c258e3674ff58a2fbb4e375ae56d9 manager: conda name: libblas optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/libblas-3.9.0-20_linux64_openblas.conda + url: https://conda.anaconda.org/conda-forge/linux-64/libblas-3.9.0-21_linux64_openblas.conda version: 3.9.0 - category: main dependencies: @@ -2741,6 +2768,20 @@ package: platform: linux-64 url: https://conda.anaconda.org/conda-forge/linux-64/libmicrohttpd-0.9.77-h97afed2_0.conda version: 0.9.77 +- category: main + dependencies: + libgcc-ng: '>=12' + libgcrypt: '>=1.10.1,<2.0a0' + libglib: '>=2.70.2,<3.0a0' + hash: + md5: 9d6698e3c9585a75156d86f7ef229093 + sha256: c3ffd1ff0d2fa1626a4270ee50a687d25424e8812024d92f88445a2ac9f13931 + manager: conda + name: libsecret + optional: false + platform: linux-64 + url: https://conda.anaconda.org/conda-forge/linux-64/libsecret-0.18.8-h329b89f_2.tar.bz2 + version: 0.18.8 - category: main dependencies: python: '>=3.4' @@ -2776,14 +2817,14 @@ package: python: '>=3.9,<3.10.0a0' python_abi: 3.9.* *_cp39 hash: - md5: 847ad1c2bcbef1e2febfdaa3b199c2a4 - sha256: e3019f819f354cc7ac080704ae9faa87618f5bbf536dc5b82f52296eb7f80459 + md5: 9a9a22eb1f83c44953319ee3b027769f + sha256: 855d305ceda4751cdd495923104dd34da5a6be45e4fd50a4e80361d9f95bcb38 manager: conda name: markupsafe optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/markupsafe-2.1.4-py39hd1e30aa_0.conda - version: 2.1.4 + url: https://conda.anaconda.org/conda-forge/linux-64/markupsafe-2.1.5-py39hd1e30aa_0.conda + version: 2.1.5 - category: main dependencies: python: '>=3.8' @@ -2829,14 +2870,14 @@ package: python: '>=3.9,<3.10.0a0' python_abi: 3.9.* *_cp39 hash: - md5: 6c87066b599dc8d932437abdc65ed4b9 - sha256: fc292f5174cf9f8669fe144e8ed4c1b79d3061a92e60bca58d189194e65f4959 + md5: e2005168d5a334f88a1d95d02e139239 + sha256: 9d07c952bd052b95155942d07d30d95eb0d8dfecfc9b0b40b8ba50323dc719da manager: conda name: multidict optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/multidict-6.0.4-py39hd1e30aa_1.conda - version: 6.0.4 + url: https://conda.anaconda.org/conda-forge/linux-64/multidict-6.0.5-py39hd1e30aa_0.conda + version: 6.0.5 - category: main dependencies: python: '' @@ -2953,26 +2994,26 @@ package: dependencies: python: '>=3.8' hash: - md5: 45a5065664da0d1dfa8f8cd2eaf05ab9 - sha256: 9e4ff17ce802159ed31344eb913eaa877688226765b77947b102b42255a53853 + md5: a0bc3eec34b0fab84be6b2da94e98e20 + sha256: 2ebfb971236ab825dd79dd6086ea742a9901008ffb9c6222c1f2b5172a8039d3 manager: conda name: platformdirs optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/platformdirs-4.1.0-pyhd8ed1ab_0.conda - version: 4.1.0 + url: https://conda.anaconda.org/conda-forge/noarch/platformdirs-4.2.0-pyhd8ed1ab_0.conda + version: 4.2.0 - category: main dependencies: python: '>=3.8' hash: - md5: 2390bd10bed1f3fdc7a537fb5a447d8d - sha256: 7bf2ad9d747e71f1e93d0863c2c8061dd0f2fe1e582f28d292abfb40264a2eb5 + md5: 139e9feb65187e916162917bb2484976 + sha256: 6edfd2c41938ea772096c674809bfcf2ebb9bef7e82de6c7ea0b966b86bfb4d0 manager: conda name: pluggy optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/pluggy-1.3.0-pyhd8ed1ab_0.conda - version: 1.3.0 + url: https://conda.anaconda.org/conda-forge/noarch/pluggy-1.4.0-pyhd8ed1ab_0.conda + version: 1.4.0 - category: main dependencies: python: '>=3.9,<3.10.0a0' @@ -3028,7 +3069,7 @@ package: version: 0.6.6 - category: main dependencies: - python: ==2.7.*|>=3.4 + python: 2.7.*|>=3.4 hash: md5: 076becd9e05608f8dc72757d5f3a91ff sha256: 74c63fd03f1f1ea2b54e8bc529fd1a600aaafb24027b738d0db87909ee3a33dc @@ -3052,15 +3093,15 @@ package: version: 2.17.2 - category: main dependencies: - python: '>=3.6' + python: '>=3.7' hash: - md5: 912c0194f898fdb783021fd25f913c31 - sha256: 88ac94c42ade15113397e30d1831dd341399b5262fb5330b9240f915c33cd232 + md5: 74f76d4868dbba5870f2cf1d9b12d8f3 + sha256: d7cb7fbafd767e938db10820c76a9c16d91faf5a081842159cc185787879eb07 manager: conda name: pyjwt optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/pyjwt-2.8.0-pyhd8ed1ab_0.conda + url: https://conda.anaconda.org/conda-forge/noarch/pyjwt-2.8.0-pyhd8ed1ab_1.conda version: 2.8.0 - category: main dependencies: @@ -3103,26 +3144,26 @@ package: dependencies: python: '>=3.6' hash: - md5: c79cacf8a06a51552fc651652f170208 - sha256: d2381037bf362c78654a8ece0e0f54715e09113448ddd7ed837f688536cbf176 + md5: 98206ea9954216ee7540f0c773f2104d + sha256: 9da9a849d53705dee450b83507df1ca8ffea5f83bd21a215202221f1c492f8ad manager: conda name: python-tzdata optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/python-tzdata-2023.4-pyhd8ed1ab_0.conda - version: '2023.4' + url: https://conda.anaconda.org/conda-forge/noarch/python-tzdata-2024.1-pyhd8ed1ab_0.conda + version: '2024.1' - category: main dependencies: - python: '>=3.6' + python: '>=3.7' hash: - md5: c93346b446cd08c169d843ae5fc0da97 - sha256: 6b680e63d69aaf087cd43ca765a23838723ef59b0a328799e6363eb13f52c49e + md5: 3eeeeb9e4827ace8c0c1419c85d590ad + sha256: 1a7d6b233f7e6e3bbcbad054c8fd51e690a67b129a899a056a5e45dd9f00cb41 manager: conda name: pytz optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/pytz-2023.3.post1-pyhd8ed1ab_0.conda - version: 2023.3.post1 + url: https://conda.anaconda.org/conda-forge/noarch/pytz-2024.1-pyhd8ed1ab_0.conda + version: '2024.1' - category: main dependencies: __unix: '' @@ -3171,14 +3212,14 @@ package: python: '>=3.9,<3.10.0a0' python_abi: 3.9.* *_cp39 hash: - md5: 601e09c9de429baaabce5f1283c51fdf - sha256: 0ec45efa1c5599a9779ac34cf5bcb7602a2294f79b8959a7b15b92b4275979ee + md5: ca1e1ff2be5c41142e412c83b88960e4 + sha256: 1bc9bdf6f4a14f38f8decf967fc40bfcd1ab069f012ef0f109163d1ef7b7c633 manager: conda name: rpds-py optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/rpds-py-0.17.1-py39h9fdd4d6_0.conda - version: 0.17.1 + url: https://conda.anaconda.org/conda-forge/linux-64/rpds-py-0.18.0-py39h9fdd4d6_0.conda + version: 0.18.0 - category: main dependencies: libgcc-ng: '>=12' @@ -3195,16 +3236,16 @@ package: version: 0.2.7 - category: main dependencies: - python: '>=3.7' + python: '>=3.8' hash: - md5: 40695fdfd15a92121ed2922900d0308b - sha256: 0fe2a0473ad03dac6c7f5c42ef36a8e90673c88a0350dfefdea4b08d43803db2 + md5: 576de899521b7d43674ba3ef6eae9142 + sha256: 7a6dca60efcaa42d0ebb784950bc16230a968256cb5048a4441cb34653b5ec58 manager: conda name: setuptools optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/setuptools-69.0.3-pyhd8ed1ab_0.conda - version: 69.0.3 + url: https://conda.anaconda.org/conda-forge/noarch/setuptools-69.1.1-pyhd8ed1ab_0.conda + version: 69.1.1 - category: main dependencies: python: '' @@ -3291,42 +3332,42 @@ package: version: 0.12.3 - category: main dependencies: - python: '>=3.5' + python: '>=3.7' hash: - md5: 92facfec94bc02d6ccf42e7173831a36 - sha256: 90229da7665175b0185183ab7b53f50af487c7f9b0f47cf09c184cbc139fd24b + md5: 2fcb582444635e2c402e8569bb94e039 + sha256: 22b0a9790317526e08609d5dfdd828210ae89e6d444a9e954855fc29012e90c6 manager: conda name: toolz optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/toolz-0.12.0-pyhd8ed1ab_0.tar.bz2 - version: 0.12.0 + url: https://conda.anaconda.org/conda-forge/noarch/toolz-0.12.1-pyhd8ed1ab_0.conda + version: 0.12.1 - category: main dependencies: libgcc-ng: '>=12' python: '>=3.9,<3.10.0a0' python_abi: 3.9.* *_cp39 hash: - md5: cbe186eefb0bcd91e8f47c3908489874 - sha256: dc0df742be0e83a4286137d21f60ca829632c2ffd66d3bebb603afe5ce74cc68 + md5: 1e865e9188204cdfb1fd2531780add88 + sha256: 27ab8f208c9a8fef7341d8f603059073f445de39c52eed73218f5823212ca73c manager: conda name: tornado optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/tornado-6.3.3-py39hd1e30aa_1.conda - version: 6.3.3 + url: https://conda.anaconda.org/conda-forge/linux-64/tornado-6.4-py39hd1e30aa_0.conda + version: '6.4' - category: main dependencies: python: '>=3.6' hash: - md5: 13ce724cb44f7bc0ca0971d76e333c30 - sha256: c1c54f4b2b5b39c420b3a47dd6196355147c798c0a4a2bdaaba80435e9591fb3 + md5: 14db65dcfc51e3efe97920dd99c5646e + sha256: 60f9e4c3a7d4ca50f97c9297ed4ee41d6a8cde213f9618e8364fe456bfcb6efa manager: conda name: types-pytz optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/types-pytz-2023.3.1.1-pyhd8ed1ab_0.conda - version: 2023.3.1.1 + url: https://conda.anaconda.org/conda-forge/noarch/types-pytz-2024.1.0.20240203-pyhd8ed1ab_0.conda + version: 2024.1.0.20240203 - category: main dependencies: python: '>=3.6' @@ -3388,14 +3429,14 @@ package: perl: '' python: '' hash: - md5: 0b2929f84fe7d3a6117439507d5d50d9 - sha256: 1d6af07d2d19be7adc296e8b6c43bd3eb12b48d8ab8e0cec566e8f9872661813 + md5: d628a7f32222786b70ad81e56dd4a61a + sha256: 1648f885c97e5189adb12a70eef72699885a4e9e882ea385c3cd904baed251ce manager: conda name: verilator optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/verilator-5.020-h7cd9344_0.conda - version: '5.020' + url: https://conda.anaconda.org/conda-forge/linux-64/verilator-5.022-h7cd9344_0.conda + version: '5.022' - category: main dependencies: python: '>=3.8' @@ -3772,14 +3813,14 @@ package: python_abi: 3.9.* *_cp39 unicodedata2: '>=14.0.0' hash: - md5: 4e2b802b69be81944fdcd71018b74226 - sha256: f0834381dcabbaa5df8124bee63c6e26c642000a6f3fe80f521b3c95b1342f27 + md5: dd1b02484cc8c31d4093111a82b6efb2 + sha256: 142a8d3288855101804d0c0d2a998dbca1b56c0d9e0e745cbee86878baaac2ea manager: conda name: fonttools optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/fonttools-4.47.2-py39hd1e30aa_0.conda - version: 4.47.2 + url: https://conda.anaconda.org/conda-forge/linux-64/fonttools-4.49.0-py39hd1e30aa_0.conda + version: 4.49.0 - category: main dependencies: python: '>=3.7' @@ -3851,14 +3892,14 @@ package: more-itertools: '' python: '>=3.7' hash: - md5: e9f79248d30e942f7c358ff21a1790f5 - sha256: 14f5240c3834e1b784dd41a5a14392d9150dff62a74ae851f73e65d2e2bbd891 + md5: c541ae264c9f1f21d83fc30dffb908ee + sha256: 232b40de8176fa7fb66a893653f8ae03c29616e04a83dae5a47df94b74e256ca manager: conda name: jaraco.classes optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/jaraco.classes-3.3.0-pyhd8ed1ab_0.conda - version: 3.3.0 + url: https://conda.anaconda.org/conda-forge/noarch/jaraco.classes-3.3.1-pyhd8ed1ab_0.conda + version: 3.3.1 - category: main dependencies: markupsafe: '>=2.0' @@ -3900,15 +3941,15 @@ package: version: '1.9' - category: main dependencies: - libblas: 3.9.0 20_linux64_openblas + libblas: 3.9.0 21_linux64_openblas hash: - md5: 36d486d72ab64ffea932329a1d3729a3 - sha256: 0e34fb0f82262f02fcb279ab4a1db8d50875dc98e3019452f8f387e6bf3c0247 + md5: 4a3816d06451c4946e2db26b86472cb6 + sha256: 467bbfbfe1a1aeb8b1f9f6485eedd8ed1b6318941bf3702da72336ccf4dc25a6 manager: conda name: libcblas optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/libcblas-3.9.0-20_linux64_openblas.conda + url: https://conda.anaconda.org/conda-forge/linux-64/libcblas-3.9.0-21_linux64_openblas.conda version: 3.9.0 - category: main dependencies: @@ -3937,15 +3978,15 @@ package: version: 2.3.3 - category: main dependencies: - libblas: 3.9.0 20_linux64_openblas + libblas: 3.9.0 21_linux64_openblas hash: - md5: 6fabc51f5e647d09cc010c40061557e0 - sha256: ad7745b8d0f2ccb9c3ba7aaa7167d62fc9f02e45eb67172ae5f0dfb5a3b1a2cc + md5: 1a42f305615c3867684e049e85927531 + sha256: 64b5c35dce00dd6f9f53178b2fe87116282e00967970bd6551a5a42923806ded manager: conda name: liblapack optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/liblapack-3.9.0-20_linux64_openblas.conda + url: https://conda.anaconda.org/conda-forge/linux-64/liblapack-3.9.0-21_linux64_openblas.conda version: 3.9.0 - category: main dependencies: @@ -4022,14 +4063,14 @@ package: setuptools: '' wheel: '' hash: - md5: 8591c748f98dcc02253003533bc2e4b1 - sha256: 29096d1d53c61aeef518729add2f405df86b3629d1d738a35b15095e6a02eeed + md5: f586ac1e56c8638b64f9c8122a7b8a67 + sha256: b7c1c5d8f13e8cb491c4bd1d0d1896a4cf80fc47de01059ad77509112b664a4a manager: conda name: pip optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/pip-23.3.2-pyhd8ed1ab_0.conda - version: 23.3.2 + url: https://conda.anaconda.org/conda-forge/noarch/pip-24.0-pyhd8ed1ab_0.conda + version: '24.0' - category: main dependencies: python: '>=3.7' @@ -4049,18 +4090,18 @@ package: exceptiongroup: '>=1.0.0rc8' iniconfig: '' packaging: '' - pluggy: '>=0.12,<2.0' - python: '>=3.7' + pluggy: <2.0,>=1.3.0 + python: '>=3.8' tomli: '>=1.0.0' hash: - md5: a9d145de8c5f064b5fa68fb34725d9f4 - sha256: 8979721b7f86b183d21103f3ec2734783847d317c1b754f462f407efc7c60886 + md5: 40bd3ef942b9642a3eb20b0bbf92469b + sha256: ea81e7efe66cffab5c8316d3a7e125e29dff9cfb19fc3578b72f965e8a876539 manager: conda name: pytest optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/pytest-7.4.4-pyhd8ed1ab_0.conda - version: 7.4.4 + url: https://conda.anaconda.org/conda-forge/noarch/pytest-8.0.2-pyhd8ed1ab_0.conda + version: 8.0.2 - category: main dependencies: python: '>=3.6' @@ -4169,14 +4210,14 @@ package: colorama: '' python: '>=3.7' hash: - md5: 03c97908b976498dcae97eb4e4f3149c - sha256: b61c9222af05e8c5ff27e4a4d2eb81870c21ffd7478346be3ef644b7a3759cc4 + md5: 2b8dfb969f984497f3f98409a9545776 + sha256: 416d1d9318f3267325ad7e2b8a575df20ff9031197b30c0222c3d3b023877260 manager: conda name: tqdm optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/tqdm-4.66.1-pyhd8ed1ab_0.conda - version: 4.66.1 + url: https://conda.anaconda.org/conda-forge/noarch/tqdm-4.66.2-pyhd8ed1ab_0.conda + version: 4.66.2 - category: main dependencies: python: '>=3.6' @@ -4243,14 +4284,14 @@ package: platformdirs: <5,>=3.9.1 python: '>=3.8' hash: - md5: c119653cba436d8183c27bf6d190e587 - sha256: 50827c3721a9dbf973b568709d4381add2a6552fa562f26a385c5edc16a534af + md5: 8797a4e26be36880a603aba29c785352 + sha256: 1ced4445cf72cd9dc344ad04bdaf703a08cc428c8c46e4bda928ad79786ee153 manager: conda name: virtualenv optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/virtualenv-20.25.0-pyhd8ed1ab_0.conda - version: 20.25.0 + url: https://conda.anaconda.org/conda-forge/noarch/virtualenv-20.25.1-pyhd8ed1ab_0.conda + version: 20.25.1 - category: main dependencies: markupsafe: '>=2.1.1' @@ -4348,14 +4389,14 @@ package: python-dateutil: '>=2.1,<3.0.0' urllib3: '>=1.25.4,<1.27' hash: - md5: df438bbfe18de464fef2539fce7a9d50 - sha256: fa130d7718c7ac1184b2418ea228f67d3d13d22dbcc79c770d64f82e9167417e + md5: 21b343f1680225a9267083549f6811d7 + sha256: 2fff736bb7d425ca38f7855981806d5f92451f6a23c18d0ce116a8260a2eff4a manager: conda name: botocore optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/botocore-1.34.23-pyhd8ed1ab_0.conda - version: 1.34.23 + url: https://conda.anaconda.org/conda-forge/noarch/botocore-1.34.49-pyge38_1234567_0.conda + version: 1.34.49 - category: main dependencies: clang-format-17: 17.0.6 default_hb11cfb5_2 @@ -4412,14 +4453,14 @@ package: python: '>=3.8' werkzeug: '>=3.0.0' hash: - md5: 49c5959bd6abaf3cdcb3668cebffd0d4 - sha256: faa22b909ee7d69514bda05ddb6fde39dae3c7a47e69d6ef9b6107c7c636ac1b + md5: 7f88df670921cc31c309719e30c22021 + sha256: d5bfe0e74b001572135bef51ffa329fa2f5dfd37fb87b2878ed851025ced9334 manager: conda name: flask optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/flask-3.0.1-pyhd8ed1ab_0.conda - version: 3.0.1 + url: https://conda.anaconda.org/conda-forge/noarch/flask-3.0.2-pyhd8ed1ab_0.conda + version: 3.0.2 - category: main dependencies: curl: '' @@ -4446,14 +4487,14 @@ package: python: '>=3.7' typing_extensions: '>=3.7.4.3' hash: - md5: 84874a90c312088f7b5e63402fc44a58 - sha256: cf3c45156feec1fe8adfd3552ed70f4218e9771643cca8dd2673bca9dea04c9c + md5: 6bc8e496351bafd761c0922c3ebd989a + sha256: a11e1cf4404157467d0f51906d1db80bcb8bfe4bb3d3eba703b28e981ea7e308 manager: conda name: gitpython optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/gitpython-3.1.41-pyhd8ed1ab_0.conda - version: 3.1.41 + url: https://conda.anaconda.org/conda-forge/noarch/gitpython-3.1.42-pyhd8ed1ab_0.conda + version: 3.1.42 - category: main dependencies: cairo: '>=1.18.0,<2.0a0' @@ -4524,6 +4565,21 @@ package: platform: linux-64 url: https://conda.anaconda.org/ucb-bar/linux-64/libdwarf-0.0.0.20190110_28_ga81397fc4-h753d276_0.tar.bz2 version: 0.0.0.20190110_28_ga81397fc4 +- category: main + dependencies: + cairo: '>=1.16.0,<2.0a0' + libffi: '>=3.4,<4.0a0' + libgcc-ng: '>=12' + libglib: '>=2.78.0,<3.0a0' + hash: + md5: 806406c7008aab9b295d0cea4d5f90e0 + sha256: 1393f41401f5858e12ec77476e844b86c4d11cc0d82150adaca74f0401cd1b87 + manager: conda + name: libgirepository + optional: false + platform: linux-64 + url: https://conda.anaconda.org/conda-forge/linux-64/libgirepository-1.78.1-h003a4f0_1.conda + version: 1.78.1 - category: main dependencies: libblas: '>=3.9.0,<4.0a0' @@ -4534,14 +4590,14 @@ package: python: '>=3.9,<3.10.0a0' python_abi: 3.9.* *_cp39 hash: - md5: a1f1ad2d8ebf63f13f45fb21b7f49dfb - sha256: 047bb87cea3d7151f9f36cc2c0a1a47c644e069bdeed7711b279b70970ca85e9 + md5: aa265f5697237aa13cc10f53fa8acc4f + sha256: fa792c330e1d18854e4ca1ea8bf90ffae6787c133ebdc331f1ba6f565d28b599 manager: conda name: numpy optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/numpy-1.26.3-py39h474f0d3_0.conda - version: 1.26.3 + url: https://conda.anaconda.org/conda-forge/linux-64/numpy-1.26.4-py39h474f0d3_0.conda + version: 1.26.4 - category: main dependencies: pip: '' @@ -4567,6 +4623,21 @@ package: platform: linux-64 url: https://conda.anaconda.org/conda-forge/noarch/prompt_toolkit-3.0.38-hd8ed1ab_0.conda version: 3.0.38 +- category: main + dependencies: + cairo: '>=1.18.0,<2.0a0' + libgcc-ng: '>=12' + python: '>=3.9,<3.10.0a0' + python_abi: 3.9.* *_cp39 + hash: + md5: a6296b5f4ef108e5616d65e3c68a83c3 + sha256: 9e9e4bf4667d964678ef9dfe031b48f803c7e981e60b7095431bc3d1578f3cc2 + manager: conda + name: pycairo + optional: false + platform: linux-64 + url: https://conda.anaconda.org/conda-forge/linux-64/pycairo-1.26.0-py39hc92de75_0.conda + version: 1.26.0 - category: main dependencies: libgcc-ng: '>=12' @@ -4574,14 +4645,14 @@ package: python_abi: 3.9.* *_cp39 typing-extensions: '>=4.6.0,!=4.7.0' hash: - md5: 26910c0ed0b85e87d5c1dd19723b2996 - sha256: 3770a69799d1366629a9944fb2eef9c15ecfd4c0d00cabee8b264d23bebf3726 + md5: 35fef239167838ec05bc714a70fbd6d9 + sha256: c0c9ebdcd812ac6c35e85a37bae966b461b5d390aeecf168611c4abd43c947a8 manager: conda name: pydantic-core optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/pydantic-core-2.14.6-py39h9fdd4d6_1.conda - version: 2.14.6 + url: https://conda.anaconda.org/conda-forge/linux-64/pydantic-core-2.16.3-py39h9fdd4d6_0.conda + version: 2.16.3 - category: main dependencies: cffi: '>=1.4.1' @@ -4646,14 +4717,14 @@ package: pip: '' python: '>=3.7,<4.0' hash: - md5: a699f404daa279b4cb41a047cf70c94a - sha256: 8cf9f34336ef7af3479a03682e171c6a2c5837cb86bf9e4c2582b75cf3a27ab9 + md5: f671fde867933dbb5b408b33609dc5fb + sha256: 9d9c7fbc77963c0c2da6e0d495a049f0540ed94d39e24cd8307d1b6ae0c03bfb manager: conda name: types-awscrt optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/types-awscrt-0.20.2-pyhd8ed1ab_0.conda - version: 0.20.2 + url: https://conda.anaconda.org/conda-forge/noarch/types-awscrt-0.20.4-pyhd8ed1ab_0.conda + version: 0.20.4 - category: main dependencies: cffi: '' @@ -4715,14 +4786,14 @@ package: python_abi: 3.9.* *_cp39 yarl: '>=1.0,<2.0' hash: - md5: c94f6e40db7d4828430ac0d8efe6a3d7 - sha256: 9ef3be4aed65d22f29a03a1bbc5b36ecab14b279efbe729241270831ff5f17b4 + md5: 15a521bec784b8903fd6396f234b6aec + sha256: f6e9a38256de4641b4aced7efe9d7c2ff75555d7dc3844b6d08c8e285c81d764 manager: conda name: aiohttp optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/aiohttp-3.9.1-py39hd1e30aa_0.conda - version: 3.9.1 + url: https://conda.anaconda.org/conda-forge/linux-64/aiohttp-3.9.3-py39hd1e30aa_0.conda + version: 3.9.3 - category: main dependencies: botocore: '>=1.11.3' @@ -4768,42 +4839,42 @@ package: six: '>=1.11.0' typing-extensions: '>=4.6.0' hash: - md5: 64d436079b1422e0483b0fbb326622a2 - sha256: 9a9ea330870d2655348fcb8c87a5fa421f3b6c3e347653131d7104f04daad5b8 + md5: 71ea9971e9ca725848c0a62a7f69cebf + sha256: 8306c733f443d158c0c7d313bebf171d5bd814e1b38ef09b7ed065b4c4253242 manager: conda name: azure-core optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/azure-core-1.29.7-pyhd8ed1ab_0.conda - version: 1.29.7 + url: https://conda.anaconda.org/conda-forge/noarch/azure-core-1.30.0-pyhd8ed1ab_0.conda + version: 1.30.0 - category: main dependencies: python: '>=3.8,<4.0' types-awscrt: '' typing_extensions: '>=4.1.0' hash: - md5: 7122b5ba8371cf83cf9593b65b57e49d - sha256: 8b4cca90a4056f0d6e30e324468c21c2e43cb3ed9154f0b26e225b22654874b7 + md5: 3d1805301dac7b46149af5cdebc853dc + sha256: 833a0d3b6b9d0be86869fa9cc4eca36febf61951d6195bd8d3d14c1d4719011a manager: conda name: botocore-stubs optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/botocore-stubs-1.34.23-pyhd8ed1ab_0.conda - version: 1.34.23 + url: https://conda.anaconda.org/conda-forge/noarch/botocore-stubs-1.34.49-pyhd8ed1ab_0.conda + version: 1.34.49 - category: main dependencies: msgpack-python: '>=0.5.2' python: '>=3.7' requests: '>=2.16.0' hash: - md5: 174bd699bb5aa9e2622eb4b288276ff8 - sha256: aae7ab3a54989f9bf9273e4a17c911ba339a8b9354250bc11fb8eff2e3f4be60 + md5: a661c39e223bf3038b38126b0bbf43d9 + sha256: 3318732d60456c5ecc0db14a7343a320ea88e05ae168aea4164d7f9ec7907142 manager: conda name: cachecontrol optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/cachecontrol-0.13.1-pyhd8ed1ab_0.conda - version: 0.13.1 + url: https://conda.anaconda.org/conda-forge/noarch/cachecontrol-0.14.0-pyhd8ed1ab_0.conda + version: 0.14.0 - category: main dependencies: clang-format: 17.0.6 default_hb11cfb5_2 @@ -4856,17 +4927,18 @@ package: appdirs: '' click: '>=5.1' filelock: '' + packaging: '' python: '>=3.7' requests: '>=2' hash: - md5: c99ae3abf501990769047b4b40a98f17 - sha256: b71784b6c24d2320b2f796d074e75e7dd1be7b7fc0f719c5cf3a582270b368d6 + md5: e54a91c3a65491b13c68f7696425bac8 + sha256: a115afdc676c95a17ab63bbda84b7b724bc8817ae54fa34f8991339252424959 manager: conda name: ensureconda optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/ensureconda-1.4.3-pyhd8ed1ab_0.tar.bz2 - version: 1.4.3 + url: https://conda.anaconda.org/conda-forge/noarch/ensureconda-1.4.4-pyhd8ed1ab_0.conda + version: 1.4.4 - category: main dependencies: flask: '>=0.9' @@ -4886,14 +4958,14 @@ package: python: '>=3.6' ukkonen: '' hash: - md5: 93c8f8ceb83827d88deeba796f07fba7 - sha256: ce2a64c18221af96226be23278d81f22ff9f64b3c047d8865590f6718915303f + md5: 9472bfd206a2b7bb8143835e37667054 + sha256: 971683b13d1b820157bef9993c63dd8b0611d2d60fc4b522da163aee2e70e518 manager: conda name: identify optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/identify-2.5.33-pyhd8ed1ab_0.conda - version: 2.5.33 + url: https://conda.anaconda.org/conda-forge/noarch/identify-2.5.35-pyhd8ed1ab_0.conda + version: 2.5.35 - category: main dependencies: importlib_metadata: '' @@ -4957,19 +5029,19 @@ package: version: 0.0.0.20190110_28_ga81397fc4 - category: main dependencies: - cryptography: <44,>=0.6 + cryptography: <45,>=0.6 pyjwt: <3,>=1.0.0 python: '>=3.6' requests: <3,>=2.0.0 hash: - md5: 9d9b0ef23f5dbe0d612ca037b9bfb410 - sha256: e0eb5606b4a164964d663d7a215ac9cd18db1ce1eca544aa61348e0a2bda94a9 + md5: 95a138b82ec36756dd8c4bd25e564597 + sha256: e3f5876e8ea2078aba5b34d6dde53910afc8f88bf6d9eec11c0bee9eb9ba6ea8 manager: conda name: msal optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/msal-1.26.0-pyhd8ed1ab_0.conda - version: 1.26.0 + url: https://conda.anaconda.org/conda-forge/noarch/msal-1.27.0-pyhd8ed1ab_0.conda + version: 1.27.0 - category: main dependencies: alsa-lib: '>=1.2.10,<1.2.11.0a0' @@ -5011,14 +5083,14 @@ package: python_abi: 3.9.* *_cp39 pytz: '>=2020.1' hash: - md5: 95aaa7baa61432a1ce85dedb7b86d2dd - sha256: d5f6266055a68907a5d5177f45b57321ff3b98e4f27e3e155ab9b27888c2991e + md5: 85293a042c24a08e71b7608ee66b6134 + sha256: 91a2f8062d905f65548a5f3e9cf91e4acd70ac151d9e9fcbb32af9980643c1d7 manager: conda name: pandas optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/pandas-2.2.0-py39hddac248_0.conda - version: 2.2.0 + url: https://conda.anaconda.org/conda-forge/linux-64/pandas-2.2.1-py39hddac248_0.conda + version: 2.2.1 - category: main dependencies: cairo: '>=1.16.0,<2.0a0' @@ -5057,18 +5129,38 @@ package: - category: main dependencies: annotated-types: '>=0.4.0' - pydantic-core: 2.14.6 + pydantic-core: 2.16.3 python: '>=3.7' typing-extensions: '>=4.6.1' hash: - md5: 3569001fd8b37e542aaefdf5de124e19 - sha256: 07ec778cbf17737b740f0547fb5cba91a7bff52945fe637287458e4119c58ffe + md5: b6343b653c5ca8fb18af03f3f5d1cd9f + sha256: ff6728ec56f8cc5d0c6dba999de6299f3ce4aa2624b552194dafdb5af1c7fecd manager: conda name: pydantic optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/pydantic-2.5.3-pyhd8ed1ab_0.conda - version: 2.5.3 + url: https://conda.anaconda.org/conda-forge/noarch/pydantic-2.6.2-pyhd8ed1ab_0.conda + version: 2.6.2 +- category: main + dependencies: + cairo: '>=1.16.0,<2.0a0' + libffi: '>=3.4,<4.0a0' + libgcc-ng: '>=12' + libgirepository: '' + libglib: '>=2.78.0,<3.0a0' + libiconv: '' + pycairo: '' + python: '>=3.9,<3.10.0a0' + python_abi: 3.9.* *_cp39 + hash: + md5: 03d34dbe574193122d7aa6576bccd559 + sha256: f950ac326dc3e4853955bc79f647725b0cbdbeeed95329115013975b61f462b5 + manager: conda + name: pygobject + optional: false + platform: linux-64 + url: https://conda.anaconda.org/conda-forge/linux-64/pygobject-3.46.0-py39hb25b1be_1.conda + version: 3.46.0 - category: main dependencies: cryptography: '>=38.0.0,<41' @@ -5107,14 +5199,14 @@ package: typing_extensions: '' urllib3: '>=1.25.10,<3.0' hash: - md5: b1b80aaa77d5e83183cd0c9e9025b1fa - sha256: 35a1cc20beca329bfa2b17feeb5ca66a2741bdccf39621dfe386f48206e73d67 + md5: 3a3a9d37b275336a17386f80bfcca835 + sha256: ffda7ac561d4b946dd8e2be9126a0418933340d345f3b96e3c9c4a1968bf3c3f manager: conda name: responses optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/responses-0.24.1-pyhd8ed1ab_0.conda - version: 0.24.1 + url: https://conda.anaconda.org/conda-forge/noarch/responses-0.25.0-pyhd8ed1ab_0.conda + version: 0.25.0 - category: main dependencies: botocore: '>=1.33.2,<2.0a.0' @@ -5189,43 +5281,43 @@ package: ruamel.yaml.clib: '>=0.2.0,<=0.2.7' urllib3: '>=1.25.4,<1.27' hash: - md5: 42415f0a777728616a1014d6c2d03570 - sha256: 94a448e74b118c6cfa22fb2ed950dd5810612ccd76626a8471b0e74595a5c221 + md5: ba6d837a7355a45315c81a41e1ecb138 + sha256: c8b2905730eb8239b005eb78868e84747aaed7a427f2a85c64fec97e2455d975 manager: conda name: awscli optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/awscli-2.15.12-py39hf3d152e_1.conda - version: 2.15.12 + url: https://conda.anaconda.org/conda-forge/linux-64/awscli-2.15.23-py39hf3d152e_1.conda + version: 2.15.23 - category: main dependencies: - botocore: '>=1.34.23,<1.35.0' + botocore: '>=1.34.49,<1.35.0' jmespath: '>=0.7.1,<2.0.0' python: '>=3.8' s3transfer: '>=0.10.0,<0.11.0' hash: - md5: 49c89cef4cf380d165d479bf7f14ee0d - sha256: 2098c4255bf6b338c2bd757a53270e3454acd9846ec4c7d67dd9092a6c043cfa + md5: 818f33e8f923de31137749661b058ad7 + sha256: 2cec579fa4d896f93c51299db7d4a834e15ef2cc51202ec5a2206668cb29b6a3 manager: conda name: boto3 optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/boto3-1.34.23-pyhd8ed1ab_0.conda - version: 1.34.23 + url: https://conda.anaconda.org/conda-forge/noarch/boto3-1.34.49-pyhd8ed1ab_0.conda + version: 1.34.49 - category: main dependencies: - cachecontrol: 0.13.1 pyhd8ed1ab_0 + cachecontrol: 0.14.0 pyhd8ed1ab_0 filelock: '>=3.8.0' python: '>=3.7' hash: - md5: 8c4781ca0893cff3a64423954ce234a1 - sha256: 7fd3cd4a667da284ae3aad9b8cb4d592099bc02ed6566cbae00bd8c0b0604e85 + md5: 4c08fa6e7d1d3f124ad815e21b2210e9 + sha256: 89a9061aafc28c0e0e2db49a5b99e99797ed3a7127c31deda0cceb4696ae627f manager: conda name: cachecontrol-with-filecache optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/cachecontrol-with-filecache-0.13.1-pyhd8ed1ab_0.conda - version: 0.13.1 + url: https://conda.anaconda.org/conda-forge/noarch/cachecontrol-with-filecache-0.14.0-pyhd8ed1ab_0.conda + version: 0.14.0 - category: main dependencies: conda-package-streaming: '>=0.9.0' @@ -5346,28 +5438,32 @@ package: python_abi: 3.9.* *_cp39 tk: '>=8.6.13,<8.7.0a0' hash: - md5: 6085411aa2f0b2b801d3b46e1d3b83c5 - sha256: f5e1275e1e7f644d5c91780c08f9868153b8b1a00703e7b03f4b171be02879e1 + md5: 5456bdfe5809ebf5689eda6c808b686e + sha256: 6a430a5816f189b7277182b6c251236c5af22e254b8253eeb6ffcb06a8ea7087 manager: conda name: matplotlib-base optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/matplotlib-base-3.8.2-py39he9076e7_0.conda - version: 3.8.2 + url: https://conda.anaconda.org/conda-forge/linux-64/matplotlib-base-3.8.3-py39he9076e7_0.conda + version: 3.8.3 - category: main dependencies: + libsecret: '' msal: '>=0.4.1,<2.0' + packaging: '' portalocker: '>=1.6,<3.0' - python: '>=3.6' + pygobject: '>=3,<4' + python: '>=3.9,<3.10.0a0' + python_abi: 3.9.* *_cp39 hash: - md5: db0e3cc6e5e99baf237a2ab73898f553 - sha256: 73096cb7f0939595651fb1555a201b3a31adb3dfeb833e70af2d4313015dd51f + md5: 74312f2baf4e602530545e5c2b532fbe + sha256: 650f8abd6ffb20e2d07dcebe98cee4f4aa1e14194ac6f19e5f6af75642122b33 manager: conda name: msal_extensions optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/msal_extensions-1.0.0-pyhd8ed1ab_0.tar.bz2 - version: 1.0.0 + url: https://conda.anaconda.org/conda-forge/linux-64/msal_extensions-1.1.0-py39hf3d152e_1.conda + version: 1.1.0 - category: main dependencies: jsonschema: '>=4.19.1,<5.0.0a0' @@ -5392,14 +5488,14 @@ package: pyyaml: '>=5.1' virtualenv: '>=20.10.0' hash: - md5: 473a7cfca197da0a10cff3f6dded7d4b - sha256: 7d1f4b4a2eb4946b5808769642c5f643788c3a9e090f1c02a6c63f8794fb3d54 + md5: 61534ee57ffdf26d7b1b514d33daccc4 + sha256: 8eb9f5965c37d2bbee9302e16cc7c5517ee06491986356112be13431a043681e manager: conda name: pre-commit optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/pre-commit-3.6.0-pyha770c72_0.conda - version: 3.6.0 + url: https://conda.anaconda.org/conda-forge/noarch/pre-commit-3.6.2-pyha770c72_0.conda + version: 3.6.2 - category: main dependencies: __unix: '' @@ -5421,14 +5517,14 @@ package: python: '>=3.7,<4.0' typing-extensions: '>=4.4' hash: - md5: cf935f13e0519eef2b83e63a4272ef2d - sha256: f588769f8ca933c3b22bc2fb2af55c2783bbe4e2615e9c38adc76163da670e27 + md5: 795a2e0a9317acfbef5d47ae7a2fcac1 + sha256: 245963a3d07f7cc6e79c3ddf3b5d33dede0f249bd95d6533ed3f460cc7f134ea manager: conda name: aws-sam-translator optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/aws-sam-translator-1.83.0-pyhd8ed1ab_0.conda - version: 1.83.0 + url: https://conda.anaconda.org/conda-forge/noarch/aws-sam-translator-1.85.0-pyhd8ed1ab_0.conda + version: 1.85.0 - category: main dependencies: azure-core: <2.0.0,>=1.23.0 @@ -5451,14 +5547,14 @@ package: python: '' typing_extensions: '' hash: - md5: 42ee533bf20660a4132b7f3ce6b45ef9 - sha256: 72c4234eba8c6d5c125bb21dabc478feb97ab68295e06d1b9fa07a7ec26c6e68 + md5: 31d2264d3aa4eb75f13a7741e5519ed2 + sha256: baa640afe9eb7e9a720232d2fa6d7c2d4f3d35b42ae2974651e71b33c03e2ae2 manager: conda name: boto3-stubs optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/boto3-stubs-1.34.23-pyhd8ed1ab_0.conda - version: 1.34.23 + url: https://conda.anaconda.org/conda-forge/noarch/boto3-stubs-1.34.49-pyhd8ed1ab_0.conda + version: 1.34.49 - category: main dependencies: archspec: '' @@ -5563,14 +5659,14 @@ package: python: '>=3.6' typing-extensions: '' hash: - md5: 0aedc754685324ef7f10093f83b79337 - sha256: da027403a9333979e734bec2d4089ec3f1a574de451bdc366cd3f9fb06551a1c + md5: 41b56eb093c6e10e3fd211656ad4e74e + sha256: 758fd7af61990c9890c2895a71b9e2644d41296a8461bc4a5aa838486b664eaa manager: conda name: mypy_boto3_ec2 optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/mypy_boto3_ec2-1.34.17-pyhd8ed1ab_0.conda - version: 1.34.17 + url: https://conda.anaconda.org/conda-forge/noarch/mypy_boto3_ec2-1.34.30-pyhd8ed1ab_0.conda + version: 1.34.30 - category: main dependencies: importlib_resources: '>=5.8,<7.0' @@ -5604,7 +5700,7 @@ package: version: 0.4.2 - category: main dependencies: - aws-sam-translator: '>=1.83.0' + aws-sam-translator: '>=1.84.0' jschema-to-python: '>=1.2.3,<1.3.dev0' jsonpatch: '' jsonschema: '>=3.0,<5' @@ -5616,14 +5712,14 @@ package: sarif-om: '>=1.0.4,<1.1.dev0' sympy: '>=1.0.0' hash: - md5: c77ca2cb441d25ab24b73c1318facee1 - sha256: 6b0c1b6161052c7c2d15b32bc58267316cd5d983e701056fb9fe3e6ade903299 + md5: 9e0b218b8aef61acaba5e021699271f6 + sha256: 5a4c1ac65bab587225706e9c2b393130c2d958da50a4e1c9ef06ab640610bb2c manager: conda name: cfn-lint optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/cfn-lint-0.84.0-pyhd8ed1ab_0.conda - version: 0.84.0 + url: https://conda.anaconda.org/conda-forge/noarch/cfn-lint-0.85.2-pyhd8ed1ab_0.conda + version: 0.85.2 - category: main dependencies: colorama: '' @@ -5699,28 +5795,29 @@ package: werkzeug: '>=0.5,!=2.2.0,!=2.2.1' xmltodict: '' hash: - md5: f7a4a329637c29a72236ab2f34225fcd - sha256: f6b71acc587d2eeafe926e750f3baa7d45ce406077aa514478b729edc8b82abc + md5: 0bab0cb52bb79f684915a650c5452b33 + sha256: bb03fa39768749a64bcd4204546e3c9e348c7702bfa979ddfc73575a1b6a9ff4 manager: conda name: moto optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/moto-4.2.13-pyhd8ed1ab_0.conda - version: 4.2.13 + url: https://conda.anaconda.org/conda-forge/noarch/moto-5.0.1-pyhd8ed1ab_0.conda + version: 5.0.1 - category: main dependencies: - livereload: '>=2.3.0' - python: '>=3.6' + colorama: '' + livereload: '' + python: '>=3.9' sphinx: '' hash: - md5: 1909f784dc37b4ab97afe2c95aeeabaa - sha256: 1c07ab809254c2454c5417c5be01af2dc8bcaae2f3315a0a9d8812997ede8297 + md5: 13996799cc0b00919a3c1b9b02e02217 + sha256: 13e095036649519562f4d1add7eb16004f8ad65efb854b11e836d48bb57e5df9 manager: conda name: sphinx-autobuild optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/sphinx-autobuild-2021.3.14-pyhd8ed1ab_0.tar.bz2 - version: 2021.3.14 + url: https://conda.anaconda.org/conda-forge/noarch/sphinx-autobuild-2024.2.4-pyhd8ed1ab_0.conda + version: 2024.2.4 - category: main dependencies: python: '>=3.9' diff --git a/conda-reqs/conda-lock-reqs/conda-requirements-riscv-tools-linux-64-lean.conda-lock.yml b/conda-reqs/conda-lock-reqs/conda-requirements-riscv-tools-linux-64-lean.conda-lock.yml index 9094a8078a..892bd1cfaa 100644 --- a/conda-reqs/conda-lock-reqs/conda-requirements-riscv-tools-linux-64-lean.conda-lock.yml +++ b/conda-reqs/conda-lock-reqs/conda-requirements-riscv-tools-linux-64-lean.conda-lock.yml @@ -9,7 +9,7 @@ # To update a single package to the latest version compatible with the version constraints in the source: # conda-lock lock --lockfile conda-requirements-riscv-tools-linux-64-lean.conda-lock.yml --update PACKAGE # To re-solve the entire environment, e.g. after changing a version constraint in the source file: -# conda-lock -f /scratch/joonho.whangbo/coding/chipyard-release-118/conda-reqs/chipyard-base.yaml -f /scratch/joonho.whangbo/coding/chipyard-release-118/conda-reqs/docs.yaml -f /scratch/joonho.whangbo/coding/chipyard-release-118/conda-reqs/riscv-tools.yaml --lockfile conda-requirements-riscv-tools-linux-64-lean.conda-lock.yml +# conda-lock -f /scratch/vighneshiyer/chipyard-verilator/conda-reqs/chipyard-base.yaml -f /scratch/vighneshiyer/chipyard-verilator/conda-reqs/docs.yaml -f /scratch/vighneshiyer/chipyard-verilator/conda-reqs/riscv-tools.yaml --lockfile conda-requirements-riscv-tools-linux-64-lean.conda-lock.yml metadata: channels: - url: ucb-bar @@ -21,13 +21,13 @@ metadata: - url: nodefaults used_env_vars: [] content_hash: - linux-64: 992d6d7f85dd948e8f14b610157acfaad2453e8a2cd692e30ad1a3c340fdbd88 + linux-64: 5df9a1eb7166b2c0286bc0e4c6e37816dd07f9d30cac4ba82d15e53da4f7f16a platforms: - linux-64 sources: - - /scratch/joonho.whangbo/coding/chipyard-release-118/conda-reqs/chipyard-base.yaml - - /scratch/joonho.whangbo/coding/chipyard-release-118/conda-reqs/docs.yaml - - /scratch/joonho.whangbo/coding/chipyard-release-118/conda-reqs/riscv-tools.yaml + - /scratch/vighneshiyer/chipyard-verilator/conda-reqs/chipyard-base.yaml + - /scratch/vighneshiyer/chipyard-verilator/conda-reqs/docs.yaml + - /scratch/vighneshiyer/chipyard-verilator/conda-reqs/riscv-tools.yaml package: - category: main dependencies: {} @@ -43,25 +43,25 @@ package: - category: main dependencies: {} hash: - md5: f6ce7955b53ae1ca83144adb3be9c600 - sha256: 546e4eb1cbd822a66b363ec3fbdcc4fcc53853bcab57674cb46b8f3209b856f2 + md5: 38d211c448a67f12fe693fe25df4da23 + sha256: 0dbeaddc3d5134b5336c52ac05642533b8d1ba2e1316aa92981f4cf5b5388de0 manager: conda name: _sysroot_linux-64_curr_repodata_hack optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/_sysroot_linux-64_curr_repodata_hack-3-h69a702a_13.conda + url: https://conda.anaconda.org/conda-forge/noarch/_sysroot_linux-64_curr_repodata_hack-3-h69a702a_14.conda version: '3' - category: main dependencies: {} hash: - md5: 01ffc8d36f9eba0ce0b3c1955fa780ee - sha256: fb4b9f4b7d885002db0b93e22f44b5b03791ef3d4efdc9d0662185a0faafd6b6 + md5: 2f4327a1cbe7f022401b236e915a5fef + sha256: 91d81bfecdbb142c15066df70cc952590ae8991670198f92c66b62019b251aeb manager: conda name: ca-certificates optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/ca-certificates-2023.11.17-hbcca054_0.conda - version: 2023.11.17 + url: https://conda.anaconda.org/conda-forge/linux-64/ca-certificates-2024.2.2-hbcca054_0.conda + version: 2024.2.2 - category: main dependencies: {} hash: @@ -120,35 +120,35 @@ package: - category: main dependencies: {} hash: - md5: 2390dcf3fd78c2c426f1c5b6664fa4b7 - sha256: 12904b795e5cb877ce6a7bb30feba9bfbe1dd38bd5f520e6af8d89a51bb6b6a6 + md5: fb94f6b17ef1a75faac2e06937dc4223 + sha256: 86d1e11bf0b8dbc74fec07f3c71bb1b20f83e32b5b9f8625b3dc653ce00e40bd manager: conda name: libgcc-devel_linux-64 optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/libgcc-devel_linux-64-11.4.0-h922705a_103.conda + url: https://conda.anaconda.org/conda-forge/noarch/libgcc-devel_linux-64-11.4.0-h922705a_105.conda version: 11.4.0 - category: main dependencies: {} hash: - md5: be10a739476d68c464101836773463f1 - sha256: 4957848888d7a8cdc77c605357e759f0c9b08c18510f08a205f567666602ca2b + md5: a884fe2f11c6167f3dc62d4b1db20ced + sha256: 20c4f2b96b8fb57a3cad0bb8f1ce407ee7bc935cb0ce68b430b10b77616c0b16 manager: conda name: libstdcxx-devel_linux-64 optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/libstdcxx-devel_linux-64-11.4.0-h922705a_103.conda + url: https://conda.anaconda.org/conda-forge/noarch/libstdcxx-devel_linux-64-11.4.0-h922705a_105.conda version: 11.4.0 - category: main dependencies: {} hash: - md5: 937eaed008f6bf2191c5fe76f87755e9 - sha256: 6c6c49efedcc5709a66f19fb6b26b69c6a5245310fd1d9a901fd5e38aaf7f882 + md5: f6f6600d18a4047b54f803cf708b868a + sha256: a56c5b11f1e73a86e120e6141a42d9e935a99a2098491ac9e15347a1476ce777 manager: conda name: libstdcxx-ng optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/libstdcxx-ng-13.2.0-h7e041cc_3.conda + url: https://conda.anaconda.org/conda-forge/linux-64/libstdcxx-ng-13.2.0-h7e041cc_5.conda version: 13.2.0 - category: main dependencies: {} @@ -164,14 +164,14 @@ package: - category: main dependencies: {} hash: - md5: 8dee24b8be2d9ff81e7bd4d7d97ff1b0 - sha256: 04f2ab3e36f2015841551415bf16bf62933bd94b7085d4be5493b388e95a9c3d + md5: 161081fc7cec0bfda0d86d7cb595f8d8 + sha256: 7b2b69c54ec62a243eb6fba2391b5e443421608c3ae5dbff938ad33ca8db5122 manager: conda name: tzdata optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/tzdata-2023d-h0c530f3_0.conda - version: 2023d + url: https://conda.anaconda.org/conda-forge/noarch/tzdata-2024a-h0c530f3_0.conda + version: 2024a - category: main dependencies: font-ttf-dejavu-sans-mono: '' @@ -191,25 +191,25 @@ package: dependencies: _sysroot_linux-64_curr_repodata_hack: 3.* hash: - md5: 523bc836a954faf0cca94831971bb85a - sha256: 67a3caa56e2a59f407f3d290437b865aaf996873006e2fcfca6295d0f0be8db9 + md5: f6c14886b1695a2462d296176e831080 + sha256: 604b9345a7a1474b7eb33260e382446754d16eed754b853d7571d0e39bfc7737 manager: conda name: kernel-headers_linux-64 optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/kernel-headers_linux-64-3.10.0-h4a8ded7_13.tar.bz2 + url: https://conda.anaconda.org/conda-forge/noarch/kernel-headers_linux-64-3.10.0-h4a8ded7_14.conda version: 3.10.0 - category: main dependencies: _libgcc_mutex: 0.1 conda_forge hash: - md5: 7124cbb46b13d395bdde68f2d215c989 - sha256: 6ebedee39b6bbbc969715d0d7fa4b381cce67e1139862604ffa393f821c08e81 + md5: d211c42b9ce49aee3734fdc828731689 + sha256: 0d3d4b1b0134283ea02d58e8eb5accf3655464cf7159abf098cc694002f8d34e manager: conda name: libgomp optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/libgomp-13.2.0-h807b86a_3.conda + url: https://conda.anaconda.org/conda-forge/linux-64/libgomp-13.2.0-h807b86a_5.conda version: 13.2.0 - category: main dependencies: @@ -239,15 +239,15 @@ package: - category: main dependencies: _sysroot_linux-64_curr_repodata_hack: 3.* - kernel-headers_linux-64: 3.10.0 h4a8ded7_13 + kernel-headers_linux-64: 3.10.0 h4a8ded7_14 hash: - md5: 57e5a5191ffe999b9f4dfdbcd0ddcba4 - sha256: f09f2fea4b571dcd225f1e35bd3c851e809cd4c2f5f151438133969ab28478e5 + md5: d5f7a1cca06f56e6ac2b525f199f9b9e + sha256: af0f9ed466f97e3910c1d867ab44c974e01383cb408baa38606265964c7f0857 manager: conda name: sysroot_linux-64 optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/sysroot_linux-64-2.17-h4a8ded7_13.tar.bz2 + url: https://conda.anaconda.org/conda-forge/noarch/sysroot_linux-64-2.17-h4a8ded7_14.conda version: '2.17' - category: main dependencies: @@ -267,13 +267,13 @@ package: _libgcc_mutex: 0.1 conda_forge _openmp_mutex: '>=4.5' hash: - md5: 23fdf1fef05baeb7eadc2aed5fb0011f - sha256: 5e88f658e07a30ab41b154b42c59f079b168acfa9551a75bdc972099453f4105 + md5: d4ff227c46917d3b4565302a2bbb276b + sha256: d32f78bfaac282cfe5205f46d558704ad737b8dbf71f9227788a5ca80facaba4 manager: conda name: libgcc-ng optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/libgcc-ng-13.2.0-h807b86a_3.conda + url: https://conda.anaconda.org/conda-forge/linux-64/libgcc-ng-13.2.0-h807b86a_5.conda version: 13.2.0 - category: main dependencies: @@ -315,14 +315,14 @@ package: dependencies: libgcc-ng: '>=12' hash: - md5: 89e40af02dd3a0846c0c1131c5126706 - sha256: c4bbdafd6791583e3c77e8ed0e1df9e0021d542249c3543de3d72788f5c8a0c4 + md5: f6afff0e9ee08d2f1b897881a4f38cdb + sha256: 2a5866b19d28cb963fab291a62ff1c884291b9d6f59de14643e52f103e255749 manager: conda name: c-ares optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/c-ares-1.25.0-hd590300_0.conda - version: 1.25.0 + url: https://conda.anaconda.org/conda-forge/linux-64/c-ares-1.27.0-hd590300_0.conda + version: 1.27.0 - category: main dependencies: libgcc-ng: '>=12' @@ -523,13 +523,13 @@ package: dependencies: libgcc-ng: '>=11.4.0' hash: - md5: e6f89a7be0ec320c1b1746971045b0e7 - sha256: c4c5c87f5c717e83299740f6a20393f9a131f05e2e9df63faafd6bb71dc58346 + md5: 47a9846c7679f8381b06fc5052ab4a4b + sha256: fc00e9a71c07446cf1744bd1d5cd3efa6dfd3a7db6c2c8a82853f19b8b1416f8 manager: conda name: libsanitizer optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/libsanitizer-11.4.0-h4dcbe23_3.conda + url: https://conda.anaconda.org/conda-forge/linux-64/libsanitizer-11.4.0-h4dcbe23_5.conda version: 11.4.0 - category: main dependencies: @@ -632,27 +632,27 @@ package: ca-certificates: '' libgcc-ng: '>=12' hash: - md5: 603827b39ea2b835268adb8c821b8570 - sha256: 80efc6f429bd8e622d999652e5cba2ca56fcdb9c16a439d2ce9b4313116e4a87 + md5: 51a753e64a3027bd7e23a189b1f6e91e + sha256: c02c12bdb898daacf7eb3d09859f93ea8f285fd1a6132ff6ff0493ab52c7fe57 manager: conda name: openssl optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/openssl-3.2.0-hd590300_1.conda - version: 3.2.0 + url: https://conda.anaconda.org/conda-forge/linux-64/openssl-3.2.1-hd590300_0.conda + version: 3.2.1 - category: main dependencies: libgcc-ng: '>=12' libstdcxx-ng: '>=12' hash: - md5: 6b4b43013628634b6cfdee6b74fd696b - sha256: 07a5ffcd34e241f900433af4c6d4904518aab76add4e1e40a2c4bad93ae43f2b + md5: 71004cbf7924e19c02746ccde9fd7123 + sha256: 366d28e2a0a191d6c535e234741e0cd1d94d713f76073d8af4a5ccb2a266121e manager: conda name: pixman optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/pixman-0.43.0-h59595ed_0.conda - version: 0.43.0 + url: https://conda.anaconda.org/conda-forge/linux-64/pixman-0.43.2-h59595ed_0.conda + version: 0.43.2 - category: main dependencies: libgcc-ng: '>=7.5.0' @@ -841,20 +841,20 @@ package: - category: main dependencies: binutils_impl_linux-64: '>=2.39' - libgcc-devel_linux-64: 11.4.0 h922705a_103 + libgcc-devel_linux-64: 11.4.0 h922705a_105 libgcc-ng: '>=11.4.0' libgomp: '>=11.4.0' - libsanitizer: 11.4.0 h4dcbe23_3 + libsanitizer: 11.4.0 h4dcbe23_5 libstdcxx-ng: '>=11.4.0' sysroot_linux-64: '' hash: - md5: b17749a6ae62cf9a5eafd96a6bcaad0e - sha256: 24d34853611b8758c40fa9d6a0d0b738312f51af9fed8fa6c6422f15ec475763 + md5: dd619b391c1c85728a6c70aac733e0a8 + sha256: b354a25c5eee51c7f2d9bd1232d445302068e55e540eddddf32bf96cc54f48b9 manager: conda name: gcc_impl_linux-64 optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/gcc_impl_linux-64-11.4.0-h7aa1c59_3.conda + url: https://conda.anaconda.org/conda-forge/linux-64/gcc_impl_linux-64-11.4.0-h7aa1c59_5.conda version: 11.4.0 - category: main dependencies: @@ -904,27 +904,27 @@ package: libgcc-ng: '>=12' libzlib: '>=1.2.13,<1.3.0a0' hash: - md5: e1c890aebdebbfbf87e2c917187b4416 - sha256: a32b36d34e4f2490b99bddbc77d01a674d304f667f0e62c89e02c961addef462 + md5: 009981dd9cfcaa4dbfa25ffaed86bcae + sha256: 502f6ff148ac2777cc55ae4ade01a8fc3543b4ffab25c4e0eaa15f94e90dd997 manager: conda name: libpng optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/libpng-1.6.39-h753d276_0.conda - version: 1.6.39 + url: https://conda.anaconda.org/conda-forge/linux-64/libpng-1.6.43-h2797004_0.conda + version: 1.6.43 - category: main dependencies: libgcc-ng: '>=12' libzlib: '>=1.2.13,<1.3.0a0' hash: - md5: 3b6a9f225c3dbe0d24f4fedd4625c5bf - sha256: ee2c4d724a3ed60d5b458864d66122fb84c6ce1df62f735f90d8db17b66cd88a + md5: fc4ccadfbf6d4784de88c41704792562 + sha256: 1b379d1c652b25d0540251d422ef767472e768fd36b77261045e97f9ba6d3faa manager: conda name: libsqlite optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/libsqlite-3.44.2-h2797004_0.conda - version: 3.44.2 + url: https://conda.anaconda.org/conda-forge/linux-64/libsqlite-3.45.1-h2797004_0.conda + version: 3.45.1 - category: main dependencies: libgcc-ng: '>=12' @@ -1092,13 +1092,13 @@ package: dependencies: gcc_impl_linux-64: '>=11.4.0,<11.4.1.0a0' hash: - md5: 42003d7074d4daaf287d19987cd927bf - sha256: 2c30ddc6cb51a03fb5f671f55eaabcb9208d6ce701e6de2234518eec43a6f2d1 + md5: f400dd0a481abdfff466337623081d1a + sha256: 33504fd9020cd95f66c1d096112634c12e8bfd813d2bcd52ede90626c4768d3b manager: conda name: conda-gcc-specs optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/conda-gcc-specs-11.4.0-h240829a_3.conda + url: https://conda.anaconda.org/conda-forge/linux-64/conda-gcc-specs-11.4.0-h240829a_5.conda version: 11.4.0 - category: main dependencies: @@ -1128,17 +1128,17 @@ package: version: 11.4.0 - category: main dependencies: - gcc_impl_linux-64: 11.4.0 h7aa1c59_3 - libstdcxx-devel_linux-64: 11.4.0 h922705a_103 + gcc_impl_linux-64: 11.4.0 h7aa1c59_5 + libstdcxx-devel_linux-64: 11.4.0 h922705a_105 sysroot_linux-64: '' hash: - md5: dbee4be21eb6dbf596afddf60ff120bf - sha256: 424d9989ea82e6777e569de6873cc56229a8982e274409c344473ad89bb7bbb7 + md5: 99ef88bf2364edd566e9bfec9db2bf95 + sha256: 391b83e5cf7a31f49c3d2147dcc146a62a0a98d2c73e629680b6263b8e2c9df4 manager: conda name: gxx_impl_linux-64 optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/gxx_impl_linux-64-11.4.0-h7aa1c59_3.conda + url: https://conda.anaconda.org/conda-forge/linux-64/gxx_impl_linux-64-11.4.0-h7aa1c59_5.conda version: 11.4.0 - category: main dependencies: @@ -1166,14 +1166,14 @@ package: libzlib: '>=1.2.13,<1.3.0a0' pcre2: '>=10.42,<10.43.0a0' hash: - md5: 9bd06b12bbfa6fd1740fd23af4b0f0c7 - sha256: b1b594294a0fe4c9a51596ef027efed9268d60827e8ae61fb7545c521a631e33 + md5: d86baf8740d1a906b9716f2a0bac2f2d + sha256: 3a03a5254d2fd29c1e0ffda7250e22991dfbf2c854301fd56c408d97a647cfbd manager: conda name: libglib optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/libglib-2.78.3-h783c2da_0.conda - version: 2.78.3 + url: https://conda.anaconda.org/conda-forge/linux-64/libglib-2.78.4-h783c2da_0.conda + version: 2.78.4 - category: main dependencies: lerc: '>=4.0.0,<5.0a0' @@ -1305,14 +1305,14 @@ package: dependencies: python: '>=3.7' hash: - md5: 2011bcf45376341dd1d690263fdbc789 - sha256: afa22b77128a812cb57bc707c297d926561bd225a3d9dd74205d87a3b2d14a96 + md5: 0876280e409658fc6f9e75d035960333 + sha256: f1faca020f988696e6b6ee47c82524c7806380b37cfdd1def32f92c326caca54 manager: conda name: certifi optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/certifi-2023.11.17-pyhd8ed1ab_0.conda - version: 2023.11.17 + url: https://conda.anaconda.org/conda-forge/noarch/certifi-2024.2.2-pyhd8ed1ab_0.conda + version: 2024.2.2 - category: main dependencies: python: '>=3.7' @@ -1531,14 +1531,14 @@ package: python: '>=3.10,<3.11.0a0' python_abi: 3.10.* *_cp310 hash: - md5: 76cd8db42baacfc94aa4d3a2b8e9e453 - sha256: 270d57657ff5cdc5bd8820ab1caf04ed4e7682605c85f4b7a4ff3f7b1c4beaef + md5: f6703fa0214a00bf49d1bef6dc7672d0 + sha256: 3c18347adf1d091ee9248612308a6bef79038f80b626ef67f58cd0e8d25c65b8 manager: conda name: markupsafe optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/markupsafe-2.1.4-py310h2372a71_0.conda - version: 2.1.4 + url: https://conda.anaconda.org/conda-forge/linux-64/markupsafe-2.1.5-py310h2372a71_0.conda + version: 2.1.5 - category: main dependencies: python: '>=3.8' @@ -1606,17 +1606,17 @@ package: dependencies: python: '>=3.8' hash: - md5: 45a5065664da0d1dfa8f8cd2eaf05ab9 - sha256: 9e4ff17ce802159ed31344eb913eaa877688226765b77947b102b42255a53853 + md5: a0bc3eec34b0fab84be6b2da94e98e20 + sha256: 2ebfb971236ab825dd79dd6086ea742a9901008ffb9c6222c1f2b5172a8039d3 manager: conda name: platformdirs optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/platformdirs-4.1.0-pyhd8ed1ab_0.conda - version: 4.1.0 + url: https://conda.anaconda.org/conda-forge/noarch/platformdirs-4.2.0-pyhd8ed1ab_0.conda + version: 4.2.0 - category: main dependencies: - python: ==2.7.*|>=3.4 + python: 2.7.*|>=3.4 hash: md5: 076becd9e05608f8dc72757d5f3a91ff sha256: 74c63fd03f1f1ea2b54e8bc529fd1a600aaafb24027b738d0db87909ee3a33dc @@ -1665,16 +1665,16 @@ package: version: 1.7.1 - category: main dependencies: - python: '>=3.6' + python: '>=3.7' hash: - md5: c93346b446cd08c169d843ae5fc0da97 - sha256: 6b680e63d69aaf087cd43ca765a23838723ef59b0a328799e6363eb13f52c49e + md5: 3eeeeb9e4827ace8c0c1419c85d590ad + sha256: 1a7d6b233f7e6e3bbcbad054c8fd51e690a67b129a899a056a5e45dd9f00cb41 manager: conda name: pytz optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/pytz-2023.3.post1-pyhd8ed1ab_0.conda - version: 2023.3.post1 + url: https://conda.anaconda.org/conda-forge/noarch/pytz-2024.1-pyhd8ed1ab_0.conda + version: '2024.1' - category: main dependencies: libgcc-ng: '>=12' @@ -1715,26 +1715,26 @@ package: python: '>=3.10,<3.11.0a0' python_abi: 3.10.* *_cp310 hash: - md5: 7c9da9721ee545d57ad759f020172853 - sha256: 00c76baad0a896f6f259093ec5328ac06cf422e6528745b28ee7e5057f54668f + md5: dcf6d2535586c77b31425ed835610c54 + sha256: cfcb1b4528074684b2e339b6854320f42a03e7545ff1944ef8262e0130e5c6c8 manager: conda name: ruamel.yaml.clib optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/ruamel.yaml.clib-0.2.7-py310h2372a71_2.conda - version: 0.2.7 + url: https://conda.anaconda.org/conda-forge/linux-64/ruamel.yaml.clib-0.2.8-py310h2372a71_0.conda + version: 0.2.8 - category: main dependencies: - python: '>=3.7' + python: '>=3.8' hash: - md5: 40695fdfd15a92121ed2922900d0308b - sha256: 0fe2a0473ad03dac6c7f5c42ef36a8e90673c88a0350dfefdea4b08d43803db2 + md5: 576de899521b7d43674ba3ef6eae9142 + sha256: 7a6dca60efcaa42d0ebb784950bc16230a968256cb5048a4441cb34653b5ec58 manager: conda name: setuptools optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/setuptools-69.0.3-pyhd8ed1ab_0.conda - version: 69.0.3 + url: https://conda.anaconda.org/conda-forge/noarch/setuptools-69.1.1-pyhd8ed1ab_0.conda + version: 69.1.1 - category: main dependencies: python: '' @@ -1797,30 +1797,30 @@ package: version: 0.12.3 - category: main dependencies: - python: '>=3.5' + python: '>=3.7' hash: - md5: 92facfec94bc02d6ccf42e7173831a36 - sha256: 90229da7665175b0185183ab7b53f50af487c7f9b0f47cf09c184cbc139fd24b + md5: 2fcb582444635e2c402e8569bb94e039 + sha256: 22b0a9790317526e08609d5dfdd828210ae89e6d444a9e954855fc29012e90c6 manager: conda name: toolz optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/toolz-0.12.0-pyhd8ed1ab_0.tar.bz2 - version: 0.12.0 + url: https://conda.anaconda.org/conda-forge/noarch/toolz-0.12.1-pyhd8ed1ab_0.conda + version: 0.12.1 - category: main dependencies: libgcc-ng: '>=12' python: '>=3.10,<3.11.0a0' python_abi: 3.10.* *_cp310 hash: - md5: b23e0147fa5f7a9380e06334c7266ad5 - sha256: 209b6788b81739d3cdc2f04ad3f6f323efd85b1a30f2edce98ab76d98079fac8 + md5: 48f39c24349d9ae5c8e8873c42fb6170 + sha256: bf3f211554444e03ed4663c0704fada38e0440fa723f1e32e12243ab026e3817 manager: conda name: tornado optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/tornado-6.3.3-py310h2372a71_1.conda - version: 6.3.3 + url: https://conda.anaconda.org/conda-forge/linux-64/tornado-6.4-py310h2372a71_0.conda + version: '6.4' - category: main dependencies: python: '>=3.8' @@ -1844,14 +1844,14 @@ package: perl: '' python: '' hash: - md5: 0b2929f84fe7d3a6117439507d5d50d9 - sha256: 1d6af07d2d19be7adc296e8b6c43bd3eb12b48d8ab8e0cec566e8f9872661813 + md5: d628a7f32222786b70ad81e56dd4a61a + sha256: 1648f885c97e5189adb12a70eef72699885a4e9e882ea385c3cd904baed251ce manager: conda name: verilator optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/verilator-5.020-h7cd9344_0.conda - version: '5.020' + url: https://conda.anaconda.org/conda-forge/linux-64/verilator-5.022-h7cd9344_0.conda + version: '5.022' - category: main dependencies: python: '>=2.6' @@ -2082,14 +2082,14 @@ package: more-itertools: '' python: '>=3.7' hash: - md5: e9f79248d30e942f7c358ff21a1790f5 - sha256: 14f5240c3834e1b784dd41a5a14392d9150dff62a74ae851f73e65d2e2bbd891 + md5: c541ae264c9f1f21d83fc30dffb908ee + sha256: 232b40de8176fa7fb66a893653f8ae03c29616e04a83dae5a47df94b74e256ca manager: conda name: jaraco.classes optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/jaraco.classes-3.3.0-pyhd8ed1ab_0.conda - version: 3.3.0 + url: https://conda.anaconda.org/conda-forge/noarch/jaraco.classes-3.3.1-pyhd8ed1ab_0.conda + version: 3.3.1 - category: main dependencies: markupsafe: '>=2.0' @@ -2124,30 +2124,29 @@ package: setuptools: '' wheel: '' hash: - md5: 8591c748f98dcc02253003533bc2e4b1 - sha256: 29096d1d53c61aeef518729add2f405df86b3629d1d738a35b15095e6a02eeed + md5: f586ac1e56c8638b64f9c8122a7b8a67 + sha256: b7c1c5d8f13e8cb491c4bd1d0d1896a4cf80fc47de01059ad77509112b664a4a manager: conda name: pip optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/pip-23.3.2-pyhd8ed1ab_0.conda - version: 23.3.2 + url: https://conda.anaconda.org/conda-forge/noarch/pip-24.0-pyhd8ed1ab_0.conda + version: '24.0' - category: main dependencies: libgcc-ng: '>=12' python: '>=3.10,<3.11.0a0' python_abi: 3.10.* *_cp310 ruamel.yaml.clib: '>=0.1.2' - setuptools: '' hash: - md5: 14fd49048b91c96a8fbf1113a8cc4f49 - sha256: b31d4eb844d1b667a9cddf63eafa2c303049ae559098f88e403c2ab5ebdd274e + md5: 50b7d9b39099cdbabf65bf27df73a793 + sha256: 37581cbd99eb8855b6d268c85d189d723dd4fa1f9d115b8a633bed6dea4c370e manager: conda name: ruamel.yaml optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/ruamel.yaml-0.18.5-py310h2372a71_0.conda - version: 0.18.5 + url: https://conda.anaconda.org/conda-forge/linux-64/ruamel.yaml-0.18.6-py310h2372a71_0.conda + version: 0.18.6 - category: main dependencies: typing_extensions: 4.9.0 pyha770c72_0 @@ -2181,14 +2180,14 @@ package: platformdirs: <5,>=3.9.1 python: '>=3.8' hash: - md5: c119653cba436d8183c27bf6d190e587 - sha256: 50827c3721a9dbf973b568709d4381add2a6552fa562f26a385c5edc16a534af + md5: 8797a4e26be36880a603aba29c785352 + sha256: 1ced4445cf72cd9dc344ad04bdaf703a08cc428c8c46e4bda928ad79786ee153 manager: conda name: virtualenv optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/virtualenv-20.25.0-pyhd8ed1ab_0.conda - version: 20.25.0 + url: https://conda.anaconda.org/conda-forge/noarch/virtualenv-20.25.1-pyhd8ed1ab_0.conda + version: 20.25.1 - category: main dependencies: libgcc-ng: '>=9.3.0' @@ -2222,18 +2221,18 @@ package: dependencies: cffi: '>=1.12' libgcc-ng: '>=12' - openssl: '>=3.1.4,<4.0a0' + openssl: '>=3.2.1,<4.0a0' python: '>=3.10,<3.11.0a0' python_abi: 3.10.* *_cp310 hash: - md5: 8a84d96d106767c08d6154ed5c8aae2c - sha256: 493feafc2492e841d361affb0bba2e29ab41d73b8db2d58c5abdfd4ccf1d29ad + md5: 47e6ea7109182e9e48f8c5839f1bded7 + sha256: eb514beb1c96969ebd299bb1979d6ccbf78087eb2a3772c364b94f778b8326ec manager: conda name: cryptography optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/cryptography-41.0.7-py310hb8475ec_1.conda - version: 41.0.7 + url: https://conda.anaconda.org/conda-forge/linux-64/cryptography-42.0.5-py310h75e40e8_0.conda + version: 42.0.5 - category: main dependencies: curl: '' @@ -2291,14 +2290,14 @@ package: python_abi: 3.10.* *_cp310 typing-extensions: '>=4.6.0,!=4.7.0' hash: - md5: f0f6b7e4b3d134f070288963ed4fbeee - sha256: 3bdc1ef89f9e431392aec8ed5695d8aefc7b65a014cc46934f75e87779f89745 + md5: 3f7aa5bfda188d57c4741de6fcc15330 + sha256: 0048a136343af983b6f6ee9fc6a65259d231eb3e90c57b2f9adaef725b64b17e manager: conda name: pydantic-core optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/pydantic-core-2.14.6-py310hcb5633a_1.conda - version: 2.14.6 + url: https://conda.anaconda.org/conda-forge/linux-64/pydantic-core-2.16.3-py310hcb5633a_0.conda + version: 2.16.3 - category: main dependencies: certifi: '>=2017.4.17' @@ -2338,30 +2337,31 @@ package: python: '>=3.7' requests: '>=2.16.0' hash: - md5: 174bd699bb5aa9e2622eb4b288276ff8 - sha256: aae7ab3a54989f9bf9273e4a17c911ba339a8b9354250bc11fb8eff2e3f4be60 + md5: a661c39e223bf3038b38126b0bbf43d9 + sha256: 3318732d60456c5ecc0db14a7343a320ea88e05ae168aea4164d7f9ec7907142 manager: conda name: cachecontrol optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/cachecontrol-0.13.1-pyhd8ed1ab_0.conda - version: 0.13.1 + url: https://conda.anaconda.org/conda-forge/noarch/cachecontrol-0.14.0-pyhd8ed1ab_0.conda + version: 0.14.0 - category: main dependencies: appdirs: '' click: '>=5.1' filelock: '' + packaging: '' python: '>=3.7' requests: '>=2' hash: - md5: c99ae3abf501990769047b4b40a98f17 - sha256: b71784b6c24d2320b2f796d074e75e7dd1be7b7fc0f719c5cf3a582270b368d6 + md5: e54a91c3a65491b13c68f7696425bac8 + sha256: a115afdc676c95a17ab63bbda84b7b724bc8817ae54fa34f8991339252424959 manager: conda name: ensureconda optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/ensureconda-1.4.3-pyhd8ed1ab_0.tar.bz2 - version: 1.4.3 + url: https://conda.anaconda.org/conda-forge/noarch/ensureconda-1.4.4-pyhd8ed1ab_0.conda + version: 1.4.4 - category: main dependencies: alsa-lib: '>=1.2.10,<1.2.11.0a0' @@ -2395,18 +2395,18 @@ package: - category: main dependencies: annotated-types: '>=0.4.0' - pydantic-core: 2.14.6 + pydantic-core: 2.16.3 python: '>=3.7' typing-extensions: '>=4.6.1' hash: - md5: 3569001fd8b37e542aaefdf5de124e19 - sha256: 07ec778cbf17737b740f0547fb5cba91a7bff52945fe637287458e4119c58ffe + md5: b6343b653c5ca8fb18af03f3f5d1cd9f + sha256: ff6728ec56f8cc5d0c6dba999de6299f3ce4aa2624b552194dafdb5af1c7fecd manager: conda name: pydantic optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/pydantic-2.5.3-pyhd8ed1ab_0.conda - version: 2.5.3 + url: https://conda.anaconda.org/conda-forge/noarch/pydantic-2.6.2-pyhd8ed1ab_0.conda + version: 2.6.2 - category: main dependencies: cryptography: '' @@ -2425,18 +2425,18 @@ package: version: 3.3.3 - category: main dependencies: - cachecontrol: 0.13.1 pyhd8ed1ab_0 + cachecontrol: 0.14.0 pyhd8ed1ab_0 filelock: '>=3.8.0' python: '>=3.7' hash: - md5: 8c4781ca0893cff3a64423954ce234a1 - sha256: 7fd3cd4a667da284ae3aad9b8cb4d592099bc02ed6566cbae00bd8c0b0604e85 + md5: 4c08fa6e7d1d3f124ad815e21b2210e9 + sha256: 89a9061aafc28c0e0e2db49a5b99e99797ed3a7127c31deda0cceb4696ae627f manager: conda name: cachecontrol-with-filecache optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/cachecontrol-with-filecache-0.13.1-pyhd8ed1ab_0.conda - version: 0.13.1 + url: https://conda.anaconda.org/conda-forge/noarch/cachecontrol-with-filecache-0.14.0-pyhd8ed1ab_0.conda + version: 0.14.0 - category: main dependencies: importlib_metadata: '>=4.11.4' @@ -2503,18 +2503,19 @@ package: version: 1.4.0 - category: main dependencies: - livereload: '>=2.3.0' - python: '>=3.6' + colorama: '' + livereload: '' + python: '>=3.9' sphinx: '' hash: - md5: 1909f784dc37b4ab97afe2c95aeeabaa - sha256: 1c07ab809254c2454c5417c5be01af2dc8bcaae2f3315a0a9d8812997ede8297 + md5: 13996799cc0b00919a3c1b9b02e02217 + sha256: 13e095036649519562f4d1add7eb16004f8ad65efb854b11e836d48bb57e5df9 manager: conda name: sphinx-autobuild optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/sphinx-autobuild-2021.3.14-pyhd8ed1ab_0.tar.bz2 - version: 2021.3.14 + url: https://conda.anaconda.org/conda-forge/noarch/sphinx-autobuild-2024.2.4-pyhd8ed1ab_0.conda + version: 2024.2.4 - category: main dependencies: python: '>=3.9' diff --git a/conda-reqs/conda-lock-reqs/conda-requirements-riscv-tools-linux-64.conda-lock.yml b/conda-reqs/conda-lock-reqs/conda-requirements-riscv-tools-linux-64.conda-lock.yml index 0841d7c5e6..a888d6cc52 100644 --- a/conda-reqs/conda-lock-reqs/conda-requirements-riscv-tools-linux-64.conda-lock.yml +++ b/conda-reqs/conda-lock-reqs/conda-requirements-riscv-tools-linux-64.conda-lock.yml @@ -9,7 +9,7 @@ # To update a single package to the latest version compatible with the version constraints in the source: # conda-lock lock --lockfile conda-requirements-riscv-tools-linux-64.conda-lock.yml --update PACKAGE # To re-solve the entire environment, e.g. after changing a version constraint in the source file: -# conda-lock -f /scratch/joonho.whangbo/coding/chipyard-release-118/conda-reqs/chipyard-base.yaml -f /scratch/joonho.whangbo/coding/chipyard-release-118/conda-reqs/chipyard-extended.yaml -f /scratch/joonho.whangbo/coding/chipyard-release-118/conda-reqs/docs.yaml -f /scratch/joonho.whangbo/coding/chipyard-release-118/conda-reqs/riscv-tools.yaml --lockfile conda-requirements-riscv-tools-linux-64.conda-lock.yml +# conda-lock -f /scratch/vighneshiyer/chipyard-verilator/conda-reqs/chipyard-base.yaml -f /scratch/vighneshiyer/chipyard-verilator/conda-reqs/chipyard-extended.yaml -f /scratch/vighneshiyer/chipyard-verilator/conda-reqs/docs.yaml -f /scratch/vighneshiyer/chipyard-verilator/conda-reqs/riscv-tools.yaml --lockfile conda-requirements-riscv-tools-linux-64.conda-lock.yml metadata: channels: - url: ucb-bar @@ -21,14 +21,14 @@ metadata: - url: nodefaults used_env_vars: [] content_hash: - linux-64: eb07751579f0515bcd5e4dfff7131bf35f78430a1281125bb17f2a1c52684521 + linux-64: 29a14a9b62700e49e61d068bfc5545ecab7c9956444b32961a56cfc4037992a2 platforms: - linux-64 sources: - - /scratch/joonho.whangbo/coding/chipyard-release-118/conda-reqs/chipyard-base.yaml - - /scratch/joonho.whangbo/coding/chipyard-release-118/conda-reqs/chipyard-extended.yaml - - /scratch/joonho.whangbo/coding/chipyard-release-118/conda-reqs/docs.yaml - - /scratch/joonho.whangbo/coding/chipyard-release-118/conda-reqs/riscv-tools.yaml + - /scratch/vighneshiyer/chipyard-verilator/conda-reqs/chipyard-base.yaml + - /scratch/vighneshiyer/chipyard-verilator/conda-reqs/chipyard-extended.yaml + - /scratch/vighneshiyer/chipyard-verilator/conda-reqs/docs.yaml + - /scratch/vighneshiyer/chipyard-verilator/conda-reqs/riscv-tools.yaml package: - category: main dependencies: {} @@ -44,13 +44,13 @@ package: - category: main dependencies: {} hash: - md5: f6ce7955b53ae1ca83144adb3be9c600 - sha256: 546e4eb1cbd822a66b363ec3fbdcc4fcc53853bcab57674cb46b8f3209b856f2 + md5: 38d211c448a67f12fe693fe25df4da23 + sha256: 0dbeaddc3d5134b5336c52ac05642533b8d1ba2e1316aa92981f4cf5b5388de0 manager: conda name: _sysroot_linux-64_curr_repodata_hack optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/_sysroot_linux-64_curr_repodata_hack-3-h69a702a_13.conda + url: https://conda.anaconda.org/conda-forge/noarch/_sysroot_linux-64_curr_repodata_hack-3-h69a702a_14.conda version: '3' - category: main dependencies: {} @@ -66,14 +66,14 @@ package: - category: main dependencies: {} hash: - md5: 01ffc8d36f9eba0ce0b3c1955fa780ee - sha256: fb4b9f4b7d885002db0b93e22f44b5b03791ef3d4efdc9d0662185a0faafd6b6 + md5: 2f4327a1cbe7f022401b236e915a5fef + sha256: 91d81bfecdbb142c15066df70cc952590ae8991670198f92c66b62019b251aeb manager: conda name: ca-certificates optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/ca-certificates-2023.11.17-hbcca054_0.conda - version: 2023.11.17 + url: https://conda.anaconda.org/conda-forge/linux-64/ca-certificates-2024.2.2-hbcca054_0.conda + version: 2024.2.2 - category: main dependencies: {} hash: @@ -143,47 +143,47 @@ package: - category: main dependencies: {} hash: - md5: 2390dcf3fd78c2c426f1c5b6664fa4b7 - sha256: 12904b795e5cb877ce6a7bb30feba9bfbe1dd38bd5f520e6af8d89a51bb6b6a6 + md5: fb94f6b17ef1a75faac2e06937dc4223 + sha256: 86d1e11bf0b8dbc74fec07f3c71bb1b20f83e32b5b9f8625b3dc653ce00e40bd manager: conda name: libgcc-devel_linux-64 optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/libgcc-devel_linux-64-11.4.0-h922705a_103.conda + url: https://conda.anaconda.org/conda-forge/noarch/libgcc-devel_linux-64-11.4.0-h922705a_105.conda version: 11.4.0 - category: main dependencies: {} hash: - md5: be10a739476d68c464101836773463f1 - sha256: 4957848888d7a8cdc77c605357e759f0c9b08c18510f08a205f567666602ca2b + md5: a884fe2f11c6167f3dc62d4b1db20ced + sha256: 20c4f2b96b8fb57a3cad0bb8f1ce407ee7bc935cb0ce68b430b10b77616c0b16 manager: conda name: libstdcxx-devel_linux-64 optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/libstdcxx-devel_linux-64-11.4.0-h922705a_103.conda + url: https://conda.anaconda.org/conda-forge/noarch/libstdcxx-devel_linux-64-11.4.0-h922705a_105.conda version: 11.4.0 - category: main dependencies: {} hash: - md5: 937eaed008f6bf2191c5fe76f87755e9 - sha256: 6c6c49efedcc5709a66f19fb6b26b69c6a5245310fd1d9a901fd5e38aaf7f882 + md5: f6f6600d18a4047b54f803cf708b868a + sha256: a56c5b11f1e73a86e120e6141a42d9e935a99a2098491ac9e15347a1476ce777 manager: conda name: libstdcxx-ng optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/libstdcxx-ng-13.2.0-h7e041cc_3.conda + url: https://conda.anaconda.org/conda-forge/linux-64/libstdcxx-ng-13.2.0-h7e041cc_5.conda version: 13.2.0 - category: main dependencies: {} hash: - md5: ca8ce27415e2e304ec35b3de2af12364 - sha256: b6f56d6c0a95dbd27bdcd5c666781c58c037850cebbaf62536a4fdf2bdcf2a2e + md5: 45965b2693535c0b8a1f8a71f416d22d + sha256: 7327eb9b9b343f7c4b8ba0b7dce5a022c72fbbb0f56b9a780f6c90276ef19072 manager: conda name: open_pdks.sky130a optional: false platform: linux-64 - url: https://conda.anaconda.org/litex-hub/noarch/open_pdks.sky130a-1.0.460_0_gfdb1863-20231104_052339.tar.bz2 - version: 1.0.460_0_gfdb1863 + url: https://conda.anaconda.org/litex-hub/noarch/open_pdks.sky130a-1.0.470_0_g6d4d117-20240223_100318.tar.bz2 + version: 1.0.470_0_g6d4d117 - category: main dependencies: {} hash: @@ -198,14 +198,14 @@ package: - category: main dependencies: {} hash: - md5: 8dee24b8be2d9ff81e7bd4d7d97ff1b0 - sha256: 04f2ab3e36f2015841551415bf16bf62933bd94b7085d4be5493b388e95a9c3d + md5: 161081fc7cec0bfda0d86d7cb595f8d8 + sha256: 7b2b69c54ec62a243eb6fba2391b5e443421608c3ae5dbff938ad33ca8db5122 manager: conda name: tzdata optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/tzdata-2023d-h0c530f3_0.conda - version: 2023d + url: https://conda.anaconda.org/conda-forge/noarch/tzdata-2024a-h0c530f3_0.conda + version: 2024a - category: main dependencies: font-ttf-dejavu-sans-mono: '' @@ -225,25 +225,25 @@ package: dependencies: _sysroot_linux-64_curr_repodata_hack: 3.* hash: - md5: 523bc836a954faf0cca94831971bb85a - sha256: 67a3caa56e2a59f407f3d290437b865aaf996873006e2fcfca6295d0f0be8db9 + md5: f6c14886b1695a2462d296176e831080 + sha256: 604b9345a7a1474b7eb33260e382446754d16eed754b853d7571d0e39bfc7737 manager: conda name: kernel-headers_linux-64 optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/kernel-headers_linux-64-3.10.0-h4a8ded7_13.tar.bz2 + url: https://conda.anaconda.org/conda-forge/noarch/kernel-headers_linux-64-3.10.0-h4a8ded7_14.conda version: 3.10.0 - category: main dependencies: _libgcc_mutex: 0.1 conda_forge hash: - md5: 7124cbb46b13d395bdde68f2d215c989 - sha256: 6ebedee39b6bbbc969715d0d7fa4b381cce67e1139862604ffa393f821c08e81 + md5: d211c42b9ce49aee3734fdc828731689 + sha256: 0d3d4b1b0134283ea02d58e8eb5accf3655464cf7159abf098cc694002f8d34e manager: conda name: libgomp optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/libgomp-13.2.0-h807b86a_3.conda + url: https://conda.anaconda.org/conda-forge/linux-64/libgomp-13.2.0-h807b86a_5.conda version: 13.2.0 - category: main dependencies: @@ -273,15 +273,15 @@ package: - category: main dependencies: _sysroot_linux-64_curr_repodata_hack: 3.* - kernel-headers_linux-64: 3.10.0 h4a8ded7_13 + kernel-headers_linux-64: 3.10.0 h4a8ded7_14 hash: - md5: 57e5a5191ffe999b9f4dfdbcd0ddcba4 - sha256: f09f2fea4b571dcd225f1e35bd3c851e809cd4c2f5f151438133969ab28478e5 + md5: d5f7a1cca06f56e6ac2b525f199f9b9e + sha256: af0f9ed466f97e3910c1d867ab44c974e01383cb408baa38606265964c7f0857 manager: conda name: sysroot_linux-64 optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/sysroot_linux-64-2.17-h4a8ded7_13.tar.bz2 + url: https://conda.anaconda.org/conda-forge/noarch/sysroot_linux-64-2.17-h4a8ded7_14.conda version: '2.17' - category: main dependencies: @@ -301,13 +301,13 @@ package: _libgcc_mutex: 0.1 conda_forge _openmp_mutex: '>=4.5' hash: - md5: 23fdf1fef05baeb7eadc2aed5fb0011f - sha256: 5e88f658e07a30ab41b154b42c59f079b168acfa9551a75bdc972099453f4105 + md5: d4ff227c46917d3b4565302a2bbb276b + sha256: d32f78bfaac282cfe5205f46d558704ad737b8dbf71f9227788a5ca80facaba4 manager: conda name: libgcc-ng optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/libgcc-ng-13.2.0-h807b86a_3.conda + url: https://conda.anaconda.org/conda-forge/linux-64/libgcc-ng-13.2.0-h807b86a_5.conda version: 13.2.0 - category: main dependencies: @@ -373,14 +373,14 @@ package: dependencies: libgcc-ng: '>=12' hash: - md5: 89e40af02dd3a0846c0c1131c5126706 - sha256: c4bbdafd6791583e3c77e8ed0e1df9e0021d542249c3543de3d72788f5c8a0c4 + md5: f6afff0e9ee08d2f1b897881a4f38cdb + sha256: 2a5866b19d28cb963fab291a62ff1c884291b9d6f59de14643e52f103e255749 manager: conda name: c-ares optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/c-ares-1.25.0-hd590300_0.conda - version: 1.25.0 + url: https://conda.anaconda.org/conda-forge/linux-64/c-ares-1.27.0-hd590300_0.conda + version: 1.27.0 - category: main dependencies: libgcc-ng: '>=12' @@ -535,14 +535,14 @@ package: libgcc-ng: '>=12' libstdcxx-ng: '>=12' hash: - md5: 2785ddf4cb0e7e743477991d64353947 - sha256: 8729021a93e67bb93b4e73ef0a132499db516accfea11561b667635bcd0507e7 + md5: 382a84d056794384ed6ac72dc434e586 + sha256: 61009cc1fd9e8fa745aec2427849bcc95f7387c7c3f13780b03b02baa820a3e4 manager: conda name: libabseil optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/libabseil-20230802.1-cxx17_h59595ed_0.conda - version: '20230802.1' + url: https://conda.anaconda.org/conda-forge/linux-64/libabseil-20240116.1-cxx17_h59595ed_1.conda + version: '20240116.1' - category: main dependencies: libgcc-ng: '>=12' @@ -619,13 +619,13 @@ package: dependencies: libgcc-ng: '>=13.2.0' hash: - md5: c714d905cdfa0e70200f68b80cc04764 - sha256: 0084a1d29a4f8ee3b8edad80eb6c42e5f0480f054f28cf713fb314bebb347a50 + md5: 7a6bd7a12a4bd359e2afe6c0fa1acace + sha256: ba8d94e8493222ce155bb264d9de4200e41498a458e866fedf444de809bde8b6 manager: conda name: libgfortran5 optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/libgfortran5-13.2.0-ha4646dd_3.conda + url: https://conda.anaconda.org/conda-forge/linux-64/libgfortran5-13.2.0-ha4646dd_5.conda version: 13.2.0 - category: main dependencies: @@ -667,13 +667,13 @@ package: dependencies: libgcc-ng: '>=11.4.0' hash: - md5: e6f89a7be0ec320c1b1746971045b0e7 - sha256: c4c5c87f5c717e83299740f6a20393f9a131f05e2e9df63faafd6bb71dc58346 + md5: 47a9846c7679f8381b06fc5052ab4a4b + sha256: fc00e9a71c07446cf1744bd1d5cd3efa6dfd3a7db6c2c8a82853f19b8b1416f8 manager: conda name: libsanitizer optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/libsanitizer-11.4.0-h4dcbe23_3.conda + url: https://conda.anaconda.org/conda-forge/linux-64/libsanitizer-11.4.0-h4dcbe23_5.conda version: 11.4.0 - category: main dependencies: @@ -727,14 +727,14 @@ package: dependencies: libgcc-ng: '>=12' hash: - md5: d23c76f7e6dcd6243d1b6ef5e62d17d2 - sha256: 4bc4c946e9a532c066442714eeeeb1ffbd03cd89789c4047293f5e782b5fedd7 + md5: a7a94e1b751a9fe2be88f3934b3a0739 + sha256: 53bd8f6bebc85555c5dd648072693e37fcdf777f993e9a108c4a7badf2e8810c manager: conda name: libuv optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/libuv-1.46.0-hd590300_0.conda - version: 1.46.0 + url: https://conda.anaconda.org/conda-forge/linux-64/libuv-1.47.0-hd590300_0.conda + version: 1.47.0 - category: main dependencies: libgcc-ng: '>=12' @@ -861,14 +861,14 @@ package: ca-certificates: '' libgcc-ng: '>=12' hash: - md5: 603827b39ea2b835268adb8c821b8570 - sha256: 80efc6f429bd8e622d999652e5cba2ca56fcdb9c16a439d2ce9b4313116e4a87 + md5: 51a753e64a3027bd7e23a189b1f6e91e + sha256: c02c12bdb898daacf7eb3d09859f93ea8f285fd1a6132ff6ff0493ab52c7fe57 manager: conda name: openssl optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/openssl-3.2.0-hd590300_1.conda - version: 3.2.0 + url: https://conda.anaconda.org/conda-forge/linux-64/openssl-3.2.1-hd590300_0.conda + version: 3.2.1 - category: main dependencies: libgcc-ng: '>=9.3.0' @@ -886,14 +886,14 @@ package: libgcc-ng: '>=12' libstdcxx-ng: '>=12' hash: - md5: 6b4b43013628634b6cfdee6b74fd696b - sha256: 07a5ffcd34e241f900433af4c6d4904518aab76add4e1e40a2c4bad93ae43f2b + md5: 71004cbf7924e19c02746ccde9fd7123 + sha256: 366d28e2a0a191d6c535e234741e0cd1d94d713f76073d8af4a5ccb2a266121e manager: conda name: pixman optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/pixman-0.43.0-h59595ed_0.conda - version: 0.43.0 + url: https://conda.anaconda.org/conda-forge/linux-64/pixman-0.43.2-h59595ed_0.conda + version: 0.43.2 - category: main dependencies: libgcc-ng: '>=7.5.0' @@ -1221,20 +1221,20 @@ package: - category: main dependencies: binutils_impl_linux-64: '>=2.39' - libgcc-devel_linux-64: 11.4.0 h922705a_103 + libgcc-devel_linux-64: 11.4.0 h922705a_105 libgcc-ng: '>=11.4.0' libgomp: '>=11.4.0' - libsanitizer: 11.4.0 h4dcbe23_3 + libsanitizer: 11.4.0 h4dcbe23_5 libstdcxx-ng: '>=11.4.0' sysroot_linux-64: '' hash: - md5: b17749a6ae62cf9a5eafd96a6bcaad0e - sha256: 24d34853611b8758c40fa9d6a0d0b738312f51af9fed8fa6c6422f15ec475763 + md5: dd619b391c1c85728a6c70aac733e0a8 + sha256: b354a25c5eee51c7f2d9bd1232d445302068e55e540eddddf32bf96cc54f48b9 manager: conda name: gcc_impl_linux-64 optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/gcc_impl_linux-64-11.4.0-h7aa1c59_3.conda + url: https://conda.anaconda.org/conda-forge/linux-64/gcc_impl_linux-64-11.4.0-h7aa1c59_5.conda version: 11.4.0 - category: main dependencies: @@ -1290,30 +1290,44 @@ package: version: 3.1.20191231 - category: main dependencies: - libgfortran5: 13.2.0 ha4646dd_3 + libgfortran5: 13.2.0 ha4646dd_5 hash: - md5: 73031c79546ad06f1fe62e57fdd021bc - sha256: 5b918950b84605b6865de438757f507b1eff73c96fd562f7022c80028b088c14 + md5: e73e9cfd1191783392131e6238bdb3e9 + sha256: 238c16c84124d58307376715839aa152bd4a1bf5a043052938ad6c3137d30245 manager: conda name: libgfortran-ng optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/libgfortran-ng-13.2.0-h69a702a_3.conda + url: https://conda.anaconda.org/conda-forge/linux-64/libgfortran-ng-13.2.0-h69a702a_5.conda version: 13.2.0 +- category: main + dependencies: + gettext: '>=0.21.1,<1.0a0' + libgcc-ng: '>=12' + libstdcxx-ng: '>=12' + hash: + md5: 4d18d86916705d352d5f4adfb7f0edd3 + sha256: c448c6d86d27e10b9e844172000540e9cbfe9c28f968db87f949ba05add9bd50 + manager: conda + name: libgpg-error + optional: false + platform: linux-64 + url: https://conda.anaconda.org/conda-forge/linux-64/libgpg-error-1.48-h71f35ed_0.conda + version: '1.48' - category: main dependencies: gettext: '>=0.21.1,<1.0a0' libgcc-ng: '>=12' libunistring: '>=0,<1.0a0' hash: - md5: 7440fbafd870b8bab68f83a064875d34 - sha256: 888848ae85be9df86f56407639c63bdce8e7651f0b2517be9bc0ac6e38b2d21d + md5: 2b7b0d827c6447cc1d85dc06d5b5de46 + sha256: 253f9be445c58bf07b39d8f67ac08bccc5010c75a8c2070cddfb6c20e1ca4f4f manager: conda name: libidn2 optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/libidn2-2.3.4-h166bdaf_0.tar.bz2 - version: 2.3.4 + url: https://conda.anaconda.org/conda-forge/linux-64/libidn2-2.3.7-hd590300_0.conda + version: 2.3.7 - category: main dependencies: libgcc-ng: '>=12' @@ -1349,42 +1363,42 @@ package: libgcc-ng: '>=12' libzlib: '>=1.2.13,<1.3.0a0' hash: - md5: e1c890aebdebbfbf87e2c917187b4416 - sha256: a32b36d34e4f2490b99bddbc77d01a674d304f667f0e62c89e02c961addef462 + md5: 009981dd9cfcaa4dbfa25ffaed86bcae + sha256: 502f6ff148ac2777cc55ae4ade01a8fc3543b4ffab25c4e0eaa15f94e90dd997 manager: conda name: libpng optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/libpng-1.6.39-h753d276_0.conda - version: 1.6.39 + url: https://conda.anaconda.org/conda-forge/linux-64/libpng-1.6.43-h2797004_0.conda + version: 1.6.43 - category: main dependencies: - libabseil: '>=20230802.1,<20230803.0a0' + libabseil: '>=20240116.1,<20240117.0a0' libgcc-ng: '>=12' libstdcxx-ng: '>=12' libzlib: '>=1.2.13,<1.3.0a0' hash: - md5: 1a0287ab734591ad63603734f923016b - sha256: 3e0f6454190abb27edd2aeb724688ee440de133edb02cbb17d5609ba36aa8be0 + md5: babbc506d2626698412c2e4ade78a20a + sha256: 433a82d1fc0d0fe78d93c34e4665bd0c931eb2e528be076875226ddf87e0d80a manager: conda name: libprotobuf optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/libprotobuf-4.24.4-hf27288f_0.conda - version: 4.24.4 + url: https://conda.anaconda.org/conda-forge/linux-64/libprotobuf-4.25.2-h08a7969_1.conda + version: 4.25.2 - category: main dependencies: libgcc-ng: '>=12' libzlib: '>=1.2.13,<1.3.0a0' hash: - md5: 3b6a9f225c3dbe0d24f4fedd4625c5bf - sha256: ee2c4d724a3ed60d5b458864d66122fb84c6ce1df62f735f90d8db17b66cd88a + md5: fc4ccadfbf6d4784de88c41704792562 + sha256: 1b379d1c652b25d0540251d422ef767472e768fd36b77261045e97f9ba6d3faa manager: conda name: libsqlite optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/libsqlite-3.44.2-h2797004_0.conda - version: 3.44.2 + url: https://conda.anaconda.org/conda-forge/linux-64/libsqlite-3.45.1-h2797004_0.conda + version: 3.45.1 - category: main dependencies: libgcc-ng: '>=12' @@ -1422,14 +1436,14 @@ package: libzlib: '>=1.2.13,<1.3.0a0' xz: '>=5.2.6,<6.0a0' hash: - md5: 53e951fab78d7e3bab40745f7b3d1620 - sha256: f6828b44da29bbfbf367ddbc72902e84ea5f5de933be494d6aac4a35826afed0 + md5: c442ebfda7a475f5e78f1c8e45f1e919 + sha256: db9bf97e9e367985204331b58a059ebd5a4e0cb9e1c8754e9ecb23046b7b7bc1 manager: conda name: libxml2 optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/libxml2-2.12.4-h232c23b_1.conda - version: 2.12.4 + url: https://conda.anaconda.org/conda-forge/linux-64/libxml2-2.12.5-h232c23b_0.conda + version: 2.12.5 - category: main dependencies: libgcc-ng: '>=7.3.0' @@ -1663,14 +1677,14 @@ package: libgcc-ng: '>=12' readline: '>=8.2,<9.0a0' hash: - md5: 91824b6e55d580d39050fa4ff8e26edd - sha256: 20aed66138e13e1906ea925ee9ad661749492fc57762d2b4b6d631635274be7d + md5: c3f99059d4c3a2285f3c29c86c462c0f + sha256: 7543ca8fc7941974cb012b2ff8d842ff83e0365ff21bd2c7fdbbd468511b6f80 manager: conda name: bash optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/bash-5.2.15-h7f99829_1.conda - version: 5.2.15 + url: https://conda.anaconda.org/conda-forge/linux-64/bash-5.2.21-h7f99829_0.conda + version: 5.2.21 - category: main dependencies: flex: '' @@ -1703,13 +1717,13 @@ package: dependencies: gcc_impl_linux-64: '>=11.4.0,<11.4.1.0a0' hash: - md5: 42003d7074d4daaf287d19987cd927bf - sha256: 2c30ddc6cb51a03fb5f671f55eaabcb9208d6ce701e6de2234518eec43a6f2d1 + md5: f400dd0a481abdfff466337623081d1a + sha256: 33504fd9020cd95f66c1d096112634c12e8bfd813d2bcd52ede90626c4768d3b manager: conda name: conda-gcc-specs optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/conda-gcc-specs-11.4.0-h240829a_3.conda + url: https://conda.anaconda.org/conda-forge/linux-64/conda-gcc-specs-11.4.0-h240829a_5.conda version: 11.4.0 - category: main dependencies: @@ -1783,17 +1797,17 @@ package: version: 3.7.9 - category: main dependencies: - gcc_impl_linux-64: 11.4.0 h7aa1c59_3 - libstdcxx-devel_linux-64: 11.4.0 h922705a_103 + gcc_impl_linux-64: 11.4.0 h7aa1c59_5 + libstdcxx-devel_linux-64: 11.4.0 h922705a_105 sysroot_linux-64: '' hash: - md5: dbee4be21eb6dbf596afddf60ff120bf - sha256: 424d9989ea82e6777e569de6873cc56229a8982e274409c344473ad89bb7bbb7 + md5: 99ef88bf2364edd566e9bfec9db2bf95 + sha256: 391b83e5cf7a31f49c3d2147dcc146a62a0a98d2c73e629680b6263b8e2c9df4 manager: conda name: gxx_impl_linux-64 optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/gxx_impl_linux-64-11.4.0-h7aa1c59_3.conda + url: https://conda.anaconda.org/conda-forge/linux-64/gxx_impl_linux-64-11.4.0-h7aa1c59_5.conda version: 11.4.0 - category: main dependencies: @@ -1831,6 +1845,19 @@ package: platform: linux-64 url: https://conda.anaconda.org/conda-forge/linux-64/libarchive-3.5.2-hada088e_3.tar.bz2 version: 3.5.2 +- category: main + dependencies: + libgcc-ng: '>=12' + libgpg-error: '>=1.47,<2.0a0' + hash: + md5: 32d16ad533c59bb0a3c5ffaf16110829 + sha256: d1bd47faa29fec7288c7b212198432b07f890d3d6f646078da93b059c2e9daff + manager: conda + name: libgcrypt + optional: false + platform: linux-64 + url: https://conda.anaconda.org/conda-forge/linux-64/libgcrypt-1.10.3-hd590300_0.conda + version: 1.10.3 - category: main dependencies: gettext: '>=0.21.1,<1.0a0' @@ -1841,14 +1868,14 @@ package: libzlib: '>=1.2.13,<1.3.0a0' pcre2: '>=10.42,<10.43.0a0' hash: - md5: 9bd06b12bbfa6fd1740fd23af4b0f0c7 - sha256: b1b594294a0fe4c9a51596ef027efed9268d60827e8ae61fb7545c521a631e33 + md5: d86baf8740d1a906b9716f2a0bac2f2d + sha256: 3a03a5254d2fd29c1e0ffda7250e22991dfbf2c854301fd56c408d97a647cfbd manager: conda name: libglib optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/libglib-2.78.3-h783c2da_0.conda - version: 2.78.3 + url: https://conda.anaconda.org/conda-forge/linux-64/libglib-2.78.4-h783c2da_0.conda + version: 2.78.4 - category: main dependencies: libgcc-ng: '>=12' @@ -1871,14 +1898,14 @@ package: libgfortran-ng: '' libgfortran5: '>=12.3.0' hash: - md5: d172b34a443b95f86089e8229ddc9a17 - sha256: 628564517895ee1b09cf72c817548bd80ef1acce6a8214a8520d9f7b44c4cfaf + md5: 760ae35415f5ba8b15d09df5afe8b23a + sha256: b626954b5a1113dafec8df89fa8bf18ce9b4701464d9f084ddd7fc9fac404bbd manager: conda name: libopenblas optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/libopenblas-0.3.25-pthreads_h413a1c8_0.conda - version: 0.3.25 + url: https://conda.anaconda.org/conda-forge/linux-64/libopenblas-0.3.26-pthreads_h413a1c8_0.conda + version: 0.3.26 - category: main dependencies: lerc: '>=4.0.0,<5.0a0' @@ -1902,20 +1929,20 @@ package: - category: main dependencies: libgcc-ng: '>=12' - libprotobuf: '>=4.24.4,<4.24.5.0a0' + libprotobuf: '>=4.25.2,<4.25.3.0a0' libstdcxx-ng: '>=12' libzlib: '>=1.2.13,<1.3.0a0' ncurses: '>=6.4,<7.0a0' - openssl: '>=3.2.0,<4.0a0' + openssl: '>=3.2.1,<4.0a0' perl: '>=5.32.1,<5.33.0a0 *_perl5' hash: - md5: 194cc570b66f8726e92eaa58d141b935 - sha256: 30ac68088afe7568df797549a091556cf55ea28154a3f27cb524005ea4be6086 + md5: cdedc8ae2f54cc9da07c357a18af8adf + sha256: 06ba81bbbd4a0399f59941c9580b898b76064d800fb89b68f3ce24fa2624ded7 manager: conda name: mosh optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/mosh-1.4.0-pl5321h2f1bd5e_5.conda + url: https://conda.anaconda.org/conda-forge/linux-64/mosh-1.4.0-pl5321h092b9fe_7.conda version: 1.4.0 - category: main dependencies: @@ -1979,19 +2006,19 @@ package: - category: main dependencies: libgcc-ng: '>=12' - libsqlite: 3.44.2 h2797004_0 + libsqlite: 3.45.1 h2797004_0 libzlib: '>=1.2.13,<1.3.0a0' ncurses: '>=6.4,<7.0a0' readline: '>=8.2,<9.0a0' hash: - md5: 4f2892c672829693fd978d065db4e8be - sha256: bae479520fe770fe11996b4c240923ed097f851fbd2401d55540e551c9dbbef7 + md5: 93acf31b379acebada263b9bce3dc6ed + sha256: a7cbde68eff5d2ec9bb1b5f2604a523949048a9b5335588eac2d893fd0dd5200 manager: conda name: sqlite optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/sqlite-3.44.2-h2c6b66d_0.conda - version: 3.44.2 + url: https://conda.anaconda.org/conda-forge/linux-64/sqlite-3.45.1-h2c6b66d_0.conda + version: 3.45.1 - category: main dependencies: libgcc-ng: '>=9.4.0' @@ -2064,14 +2091,14 @@ package: dependencies: python: '>=3.8' hash: - md5: 0801250abb3d5b86010e20324f0dde94 - sha256: af221f16a23feea4d91db75b35c5720c9c448814af815fe6d45c5627eb75e897 + md5: 4017741f57d9bbf3cf184ca147859f78 + sha256: 8a1d1f92d40c6686d10ecce290a42560d023ecc02676f54dcfedfc0ede354f52 manager: conda name: argcomplete optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/argcomplete-3.2.1-pyhd8ed1ab_0.conda - version: 3.2.1 + url: https://conda.anaconda.org/conda-forge/noarch/argcomplete-3.2.2-pyhd8ed1ab_0.conda + version: 3.2.2 - category: main dependencies: libgcc-ng: '>=12' @@ -2158,7 +2185,7 @@ package: version: 1.7.0 - category: main dependencies: - python: ==2.7.*|>=3.7 + python: 2.7.*|>=3.7 hash: md5: 56febe65315cc388a5d20adf2b39a74d sha256: 0ff5173f6b20a5c95401aa52d10dbdc05c322fc568dc7417c6f76cf68e706d16 @@ -2214,14 +2241,14 @@ package: dependencies: python: '>=3.7' hash: - md5: 2011bcf45376341dd1d690263fdbc789 - sha256: afa22b77128a812cb57bc707c297d926561bd225a3d9dd74205d87a3b2d14a96 + md5: 0876280e409658fc6f9e75d035960333 + sha256: f1faca020f988696e6b6ee47c82524c7806380b37cfdd1def32f92c326caca54 manager: conda name: certifi optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/certifi-2023.11.17-pyhd8ed1ab_0.conda - version: 2023.11.17 + url: https://conda.anaconda.org/conda-forge/noarch/certifi-2024.2.2-pyhd8ed1ab_0.conda + version: 2024.2.2 - category: main dependencies: python: '>=3.6.1' @@ -2416,14 +2443,14 @@ package: dependencies: python: '>=3.8' hash: - md5: bf40f2a8835b78b1f91083d306b493d2 - sha256: 9269a5464698e0fde1f9c78544552817370c26df86e2a5a7518544b6a55ae8ee + md5: fad86b90138cf5d82c6f5a2ed6e683d9 + sha256: 3f7e123dd82fe99450d1e0ffa389e8218ef8c9ee257c836e21b489548c039ae6 manager: conda name: fsspec optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/fsspec-2023.12.2-pyhca7485f_0.conda - version: 2023.12.2 + url: https://conda.anaconda.org/conda-forge/noarch/fsspec-2024.2.0-pyhca7485f_0.conda + version: 2024.2.0 - category: main dependencies: libgcc-ng: '>=12' @@ -2640,15 +2667,15 @@ package: version: '2.16' - category: main dependencies: - libopenblas: '>=0.3.25,<1.0a0' + libopenblas: '>=0.3.26,<1.0a0' hash: - md5: 2b7bb4f7562c8cf334fc2e20c2d28abc - sha256: 8a0ee1de693a9b3da4a11b95ec81b40dd434bd01fa1f5f38f8268cd2146bf8f0 + md5: 0ac9f44fc096772b0aa092119b00c3ca + sha256: ebd5c91f029f779fb88a1fcbd1e499559a9c258e3674ff58a2fbb4e375ae56d9 manager: conda name: libblas optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/libblas-3.9.0-20_linux64_openblas.conda + url: https://conda.anaconda.org/conda-forge/linux-64/libblas-3.9.0-21_linux64_openblas.conda version: 3.9.0 - category: main dependencies: @@ -2724,6 +2751,20 @@ package: platform: linux-64 url: https://conda.anaconda.org/conda-forge/linux-64/libmicrohttpd-0.9.77-h97afed2_0.conda version: 0.9.77 +- category: main + dependencies: + libgcc-ng: '>=12' + libgcrypt: '>=1.10.1,<2.0a0' + libglib: '>=2.70.2,<3.0a0' + hash: + md5: 9d6698e3c9585a75156d86f7ef229093 + sha256: c3ffd1ff0d2fa1626a4270ee50a687d25424e8812024d92f88445a2ac9f13931 + manager: conda + name: libsecret + optional: false + platform: linux-64 + url: https://conda.anaconda.org/conda-forge/linux-64/libsecret-0.18.8-h329b89f_2.tar.bz2 + version: 0.18.8 - category: main dependencies: python: '>=3.4' @@ -2759,14 +2800,14 @@ package: python: '>=3.10,<3.11.0a0' python_abi: 3.10.* *_cp310 hash: - md5: 76cd8db42baacfc94aa4d3a2b8e9e453 - sha256: 270d57657ff5cdc5bd8820ab1caf04ed4e7682605c85f4b7a4ff3f7b1c4beaef + md5: f6703fa0214a00bf49d1bef6dc7672d0 + sha256: 3c18347adf1d091ee9248612308a6bef79038f80b626ef67f58cd0e8d25c65b8 manager: conda name: markupsafe optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/markupsafe-2.1.4-py310h2372a71_0.conda - version: 2.1.4 + url: https://conda.anaconda.org/conda-forge/linux-64/markupsafe-2.1.5-py310h2372a71_0.conda + version: 2.1.5 - category: main dependencies: python: '>=3.8' @@ -2812,14 +2853,14 @@ package: python: '>=3.10,<3.11.0a0' python_abi: 3.10.* *_cp310 hash: - md5: 7ca797f0a0c390ede770f415f5d5e039 - sha256: d8180dcee801bcde6408d924bab0010fc956ae7a14681694af21f9d4382d8ee8 + md5: d4c91d19e4f2f18b64753ac660edad79 + sha256: 31258f8daee4e0e95cd6911a472f73f47f6d724676719a6a0a812ca144cab475 manager: conda name: multidict optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/multidict-6.0.4-py310h2372a71_1.conda - version: 6.0.4 + url: https://conda.anaconda.org/conda-forge/linux-64/multidict-6.0.5-py310h2372a71_0.conda + version: 6.0.5 - category: main dependencies: python: '' @@ -2936,26 +2977,26 @@ package: dependencies: python: '>=3.8' hash: - md5: 45a5065664da0d1dfa8f8cd2eaf05ab9 - sha256: 9e4ff17ce802159ed31344eb913eaa877688226765b77947b102b42255a53853 + md5: a0bc3eec34b0fab84be6b2da94e98e20 + sha256: 2ebfb971236ab825dd79dd6086ea742a9901008ffb9c6222c1f2b5172a8039d3 manager: conda name: platformdirs optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/platformdirs-4.1.0-pyhd8ed1ab_0.conda - version: 4.1.0 + url: https://conda.anaconda.org/conda-forge/noarch/platformdirs-4.2.0-pyhd8ed1ab_0.conda + version: 4.2.0 - category: main dependencies: python: '>=3.8' hash: - md5: 2390bd10bed1f3fdc7a537fb5a447d8d - sha256: 7bf2ad9d747e71f1e93d0863c2c8061dd0f2fe1e582f28d292abfb40264a2eb5 + md5: 139e9feb65187e916162917bb2484976 + sha256: 6edfd2c41938ea772096c674809bfcf2ebb9bef7e82de6c7ea0b966b86bfb4d0 manager: conda name: pluggy optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/pluggy-1.3.0-pyhd8ed1ab_0.conda - version: 1.3.0 + url: https://conda.anaconda.org/conda-forge/noarch/pluggy-1.4.0-pyhd8ed1ab_0.conda + version: 1.4.0 - category: main dependencies: python: '>=3.10,<3.11.0a0' @@ -3011,7 +3052,7 @@ package: version: 0.6.6 - category: main dependencies: - python: ==2.7.*|>=3.4 + python: 2.7.*|>=3.4 hash: md5: 076becd9e05608f8dc72757d5f3a91ff sha256: 74c63fd03f1f1ea2b54e8bc529fd1a600aaafb24027b738d0db87909ee3a33dc @@ -3035,15 +3076,15 @@ package: version: 2.17.2 - category: main dependencies: - python: '>=3.6' + python: '>=3.7' hash: - md5: 912c0194f898fdb783021fd25f913c31 - sha256: 88ac94c42ade15113397e30d1831dd341399b5262fb5330b9240f915c33cd232 + md5: 74f76d4868dbba5870f2cf1d9b12d8f3 + sha256: d7cb7fbafd767e938db10820c76a9c16d91faf5a081842159cc185787879eb07 manager: conda name: pyjwt optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/pyjwt-2.8.0-pyhd8ed1ab_0.conda + url: https://conda.anaconda.org/conda-forge/noarch/pyjwt-2.8.0-pyhd8ed1ab_1.conda version: 2.8.0 - category: main dependencies: @@ -3086,26 +3127,26 @@ package: dependencies: python: '>=3.6' hash: - md5: c79cacf8a06a51552fc651652f170208 - sha256: d2381037bf362c78654a8ece0e0f54715e09113448ddd7ed837f688536cbf176 + md5: 98206ea9954216ee7540f0c773f2104d + sha256: 9da9a849d53705dee450b83507df1ca8ffea5f83bd21a215202221f1c492f8ad manager: conda name: python-tzdata optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/python-tzdata-2023.4-pyhd8ed1ab_0.conda - version: '2023.4' + url: https://conda.anaconda.org/conda-forge/noarch/python-tzdata-2024.1-pyhd8ed1ab_0.conda + version: '2024.1' - category: main dependencies: - python: '>=3.6' + python: '>=3.7' hash: - md5: c93346b446cd08c169d843ae5fc0da97 - sha256: 6b680e63d69aaf087cd43ca765a23838723ef59b0a328799e6363eb13f52c49e + md5: 3eeeeb9e4827ace8c0c1419c85d590ad + sha256: 1a7d6b233f7e6e3bbcbad054c8fd51e690a67b129a899a056a5e45dd9f00cb41 manager: conda name: pytz optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/pytz-2023.3.post1-pyhd8ed1ab_0.conda - version: 2023.3.post1 + url: https://conda.anaconda.org/conda-forge/noarch/pytz-2024.1-pyhd8ed1ab_0.conda + version: '2024.1' - category: main dependencies: __unix: '' @@ -3173,14 +3214,14 @@ package: python: '>=3.10,<3.11.0a0' python_abi: 3.10.* *_cp310 hash: - md5: 57f7538a66c2db6572d8ef7f0a103fc2 - sha256: c1ecf5a6746aadd2d3a7bbde172a6c822efa659eb158b9b406ebebb1bc7e4f75 + md5: eca3962963d1de0a4d13572ba943b61d + sha256: 180f734f14402a3605cc0d0a70dd52539c87ba76337da6eb73ebf603c8405c6b manager: conda name: rpds-py optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/rpds-py-0.17.1-py310hcb5633a_0.conda - version: 0.17.1 + url: https://conda.anaconda.org/conda-forge/linux-64/rpds-py-0.18.0-py310hcb5633a_0.conda + version: 0.18.0 - category: main dependencies: libgcc-ng: '>=12' @@ -3197,16 +3238,16 @@ package: version: 0.2.7 - category: main dependencies: - python: '>=3.7' + python: '>=3.8' hash: - md5: 40695fdfd15a92121ed2922900d0308b - sha256: 0fe2a0473ad03dac6c7f5c42ef36a8e90673c88a0350dfefdea4b08d43803db2 + md5: 576de899521b7d43674ba3ef6eae9142 + sha256: 7a6dca60efcaa42d0ebb784950bc16230a968256cb5048a4441cb34653b5ec58 manager: conda name: setuptools optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/setuptools-69.0.3-pyhd8ed1ab_0.conda - version: 69.0.3 + url: https://conda.anaconda.org/conda-forge/noarch/setuptools-69.1.1-pyhd8ed1ab_0.conda + version: 69.1.1 - category: main dependencies: python: '' @@ -3293,30 +3334,30 @@ package: version: 0.12.3 - category: main dependencies: - python: '>=3.5' + python: '>=3.7' hash: - md5: 92facfec94bc02d6ccf42e7173831a36 - sha256: 90229da7665175b0185183ab7b53f50af487c7f9b0f47cf09c184cbc139fd24b + md5: 2fcb582444635e2c402e8569bb94e039 + sha256: 22b0a9790317526e08609d5dfdd828210ae89e6d444a9e954855fc29012e90c6 manager: conda name: toolz optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/toolz-0.12.0-pyhd8ed1ab_0.tar.bz2 - version: 0.12.0 + url: https://conda.anaconda.org/conda-forge/noarch/toolz-0.12.1-pyhd8ed1ab_0.conda + version: 0.12.1 - category: main dependencies: libgcc-ng: '>=12' python: '>=3.10,<3.11.0a0' python_abi: 3.10.* *_cp310 hash: - md5: b23e0147fa5f7a9380e06334c7266ad5 - sha256: 209b6788b81739d3cdc2f04ad3f6f323efd85b1a30f2edce98ab76d98079fac8 + md5: 48f39c24349d9ae5c8e8873c42fb6170 + sha256: bf3f211554444e03ed4663c0704fada38e0440fa723f1e32e12243ab026e3817 manager: conda name: tornado optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/tornado-6.3.3-py310h2372a71_1.conda - version: 6.3.3 + url: https://conda.anaconda.org/conda-forge/linux-64/tornado-6.4-py310h2372a71_0.conda + version: '6.4' - category: main dependencies: python: '>=3.10' @@ -3333,14 +3374,14 @@ package: dependencies: python: '>=3.6' hash: - md5: 13ce724cb44f7bc0ca0971d76e333c30 - sha256: c1c54f4b2b5b39c420b3a47dd6196355147c798c0a4a2bdaaba80435e9591fb3 + md5: 14db65dcfc51e3efe97920dd99c5646e + sha256: 60f9e4c3a7d4ca50f97c9297ed4ee41d6a8cde213f9618e8364fe456bfcb6efa manager: conda name: types-pytz optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/types-pytz-2023.3.1.1-pyhd8ed1ab_0.conda - version: 2023.3.1.1 + url: https://conda.anaconda.org/conda-forge/noarch/types-pytz-2024.1.0.20240203-pyhd8ed1ab_0.conda + version: 2024.1.0.20240203 - category: main dependencies: python: '>=3.6' @@ -3402,14 +3443,14 @@ package: perl: '' python: '' hash: - md5: 0b2929f84fe7d3a6117439507d5d50d9 - sha256: 1d6af07d2d19be7adc296e8b6c43bd3eb12b48d8ab8e0cec566e8f9872661813 + md5: d628a7f32222786b70ad81e56dd4a61a + sha256: 1648f885c97e5189adb12a70eef72699885a4e9e882ea385c3cd904baed251ce manager: conda name: verilator optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/verilator-5.020-h7cd9344_0.conda - version: '5.020' + url: https://conda.anaconda.org/conda-forge/linux-64/verilator-5.022-h7cd9344_0.conda + version: '5.022' - category: main dependencies: python: '>=3.8' @@ -3786,14 +3827,14 @@ package: python_abi: 3.10.* *_cp310 unicodedata2: '>=14.0.0' hash: - md5: 0688fca50c84de6ff0df1c6440941e0e - sha256: ade32c4caa2453f9e60b8bc0f311b9a46e82a9f589b4ebcac2563b47803b2530 + md5: e61ae80fde506b70a88e5e06376d2068 + sha256: 7aac51cdb7364f1534c352e15ecdd3d4f9b3889112e9b9716fa76bda9926a805 manager: conda name: fonttools optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/fonttools-4.47.2-py310h2372a71_0.conda - version: 4.47.2 + url: https://conda.anaconda.org/conda-forge/linux-64/fonttools-4.49.0-py310h2372a71_0.conda + version: 4.49.0 - category: main dependencies: python: '>=3.7' @@ -3865,14 +3906,14 @@ package: more-itertools: '' python: '>=3.7' hash: - md5: e9f79248d30e942f7c358ff21a1790f5 - sha256: 14f5240c3834e1b784dd41a5a14392d9150dff62a74ae851f73e65d2e2bbd891 + md5: c541ae264c9f1f21d83fc30dffb908ee + sha256: 232b40de8176fa7fb66a893653f8ae03c29616e04a83dae5a47df94b74e256ca manager: conda name: jaraco.classes optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/jaraco.classes-3.3.0-pyhd8ed1ab_0.conda - version: 3.3.0 + url: https://conda.anaconda.org/conda-forge/noarch/jaraco.classes-3.3.1-pyhd8ed1ab_0.conda + version: 3.3.1 - category: main dependencies: markupsafe: '>=2.0' @@ -3914,15 +3955,15 @@ package: version: '1.9' - category: main dependencies: - libblas: 3.9.0 20_linux64_openblas + libblas: 3.9.0 21_linux64_openblas hash: - md5: 36d486d72ab64ffea932329a1d3729a3 - sha256: 0e34fb0f82262f02fcb279ab4a1db8d50875dc98e3019452f8f387e6bf3c0247 + md5: 4a3816d06451c4946e2db26b86472cb6 + sha256: 467bbfbfe1a1aeb8b1f9f6485eedd8ed1b6318941bf3702da72336ccf4dc25a6 manager: conda name: libcblas optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/libcblas-3.9.0-20_linux64_openblas.conda + url: https://conda.anaconda.org/conda-forge/linux-64/libcblas-3.9.0-21_linux64_openblas.conda version: 3.9.0 - category: main dependencies: @@ -3951,15 +3992,15 @@ package: version: 2.3.3 - category: main dependencies: - libblas: 3.9.0 20_linux64_openblas + libblas: 3.9.0 21_linux64_openblas hash: - md5: 6fabc51f5e647d09cc010c40061557e0 - sha256: ad7745b8d0f2ccb9c3ba7aaa7167d62fc9f02e45eb67172ae5f0dfb5a3b1a2cc + md5: 1a42f305615c3867684e049e85927531 + sha256: 64b5c35dce00dd6f9f53178b2fe87116282e00967970bd6551a5a42923806ded manager: conda name: liblapack optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/liblapack-3.9.0-20_linux64_openblas.conda + url: https://conda.anaconda.org/conda-forge/linux-64/liblapack-3.9.0-21_linux64_openblas.conda version: 3.9.0 - category: main dependencies: @@ -4036,14 +4077,14 @@ package: setuptools: '' wheel: '' hash: - md5: 8591c748f98dcc02253003533bc2e4b1 - sha256: 29096d1d53c61aeef518729add2f405df86b3629d1d738a35b15095e6a02eeed + md5: f586ac1e56c8638b64f9c8122a7b8a67 + sha256: b7c1c5d8f13e8cb491c4bd1d0d1896a4cf80fc47de01059ad77509112b664a4a manager: conda name: pip optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/pip-23.3.2-pyhd8ed1ab_0.conda - version: 23.3.2 + url: https://conda.anaconda.org/conda-forge/noarch/pip-24.0-pyhd8ed1ab_0.conda + version: '24.0' - category: main dependencies: python: '>=3.7' @@ -4063,18 +4104,18 @@ package: exceptiongroup: '>=1.0.0rc8' iniconfig: '' packaging: '' - pluggy: '>=0.12,<2.0' - python: '>=3.7' + pluggy: <2.0,>=1.3.0 + python: '>=3.8' tomli: '>=1.0.0' hash: - md5: a9d145de8c5f064b5fa68fb34725d9f4 - sha256: 8979721b7f86b183d21103f3ec2734783847d317c1b754f462f407efc7c60886 + md5: 40bd3ef942b9642a3eb20b0bbf92469b + sha256: ea81e7efe66cffab5c8316d3a7e125e29dff9cfb19fc3578b72f965e8a876539 manager: conda name: pytest optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/pytest-7.4.4-pyhd8ed1ab_0.conda - version: 7.4.4 + url: https://conda.anaconda.org/conda-forge/noarch/pytest-8.0.2-pyhd8ed1ab_0.conda + version: 8.0.2 - category: main dependencies: python: '>=3.6' @@ -4183,14 +4224,14 @@ package: colorama: '' python: '>=3.7' hash: - md5: 03c97908b976498dcae97eb4e4f3149c - sha256: b61c9222af05e8c5ff27e4a4d2eb81870c21ffd7478346be3ef644b7a3759cc4 + md5: 2b8dfb969f984497f3f98409a9545776 + sha256: 416d1d9318f3267325ad7e2b8a575df20ff9031197b30c0222c3d3b023877260 manager: conda name: tqdm optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/tqdm-4.66.1-pyhd8ed1ab_0.conda - version: 4.66.1 + url: https://conda.anaconda.org/conda-forge/noarch/tqdm-4.66.2-pyhd8ed1ab_0.conda + version: 4.66.2 - category: main dependencies: python: '>=3.6' @@ -4257,14 +4298,14 @@ package: platformdirs: <5,>=3.9.1 python: '>=3.8' hash: - md5: c119653cba436d8183c27bf6d190e587 - sha256: 50827c3721a9dbf973b568709d4381add2a6552fa562f26a385c5edc16a534af + md5: 8797a4e26be36880a603aba29c785352 + sha256: 1ced4445cf72cd9dc344ad04bdaf703a08cc428c8c46e4bda928ad79786ee153 manager: conda name: virtualenv optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/virtualenv-20.25.0-pyhd8ed1ab_0.conda - version: 20.25.0 + url: https://conda.anaconda.org/conda-forge/noarch/virtualenv-20.25.1-pyhd8ed1ab_0.conda + version: 20.25.1 - category: main dependencies: markupsafe: '>=2.1.1' @@ -4358,18 +4399,18 @@ package: - category: main dependencies: jmespath: '>=0.7.1,<2.0.0' - python: '>=3.8' + python: '>=3.10' python-dateutil: '>=2.1,<3.0.0' - urllib3: '>=1.25.4,<1.27' + urllib3: '>=1.25.4,<2.1' hash: - md5: df438bbfe18de464fef2539fce7a9d50 - sha256: fa130d7718c7ac1184b2418ea228f67d3d13d22dbcc79c770d64f82e9167417e + md5: 4a5ba178792e55031cffab564b892505 + sha256: 286efe041721f2770d82881290202a5f11b40e2485fe17a5c6bf061052cdf159 manager: conda name: botocore optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/botocore-1.34.23-pyhd8ed1ab_0.conda - version: 1.34.23 + url: https://conda.anaconda.org/conda-forge/noarch/botocore-1.34.49-pyge310_1234567_0.conda + version: 1.34.49 - category: main dependencies: clang-format-17: 17.0.6 default_hb11cfb5_2 @@ -4426,14 +4467,14 @@ package: python: '>=3.8' werkzeug: '>=3.0.0' hash: - md5: 49c5959bd6abaf3cdcb3668cebffd0d4 - sha256: faa22b909ee7d69514bda05ddb6fde39dae3c7a47e69d6ef9b6107c7c636ac1b + md5: 7f88df670921cc31c309719e30c22021 + sha256: d5bfe0e74b001572135bef51ffa329fa2f5dfd37fb87b2878ed851025ced9334 manager: conda name: flask optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/flask-3.0.1-pyhd8ed1ab_0.conda - version: 3.0.1 + url: https://conda.anaconda.org/conda-forge/noarch/flask-3.0.2-pyhd8ed1ab_0.conda + version: 3.0.2 - category: main dependencies: curl: '' @@ -4460,14 +4501,14 @@ package: python: '>=3.7' typing_extensions: '>=3.7.4.3' hash: - md5: 84874a90c312088f7b5e63402fc44a58 - sha256: cf3c45156feec1fe8adfd3552ed70f4218e9771643cca8dd2673bca9dea04c9c + md5: 6bc8e496351bafd761c0922c3ebd989a + sha256: a11e1cf4404157467d0f51906d1db80bcb8bfe4bb3d3eba703b28e981ea7e308 manager: conda name: gitpython optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/gitpython-3.1.41-pyhd8ed1ab_0.conda - version: 3.1.41 + url: https://conda.anaconda.org/conda-forge/noarch/gitpython-3.1.42-pyhd8ed1ab_0.conda + version: 3.1.42 - category: main dependencies: cairo: '>=1.18.0,<2.0a0' @@ -4525,6 +4566,21 @@ package: platform: linux-64 url: https://conda.anaconda.org/ucb-bar/linux-64/libdwarf-0.0.0.20190110_28_ga81397fc4-h753d276_0.tar.bz2 version: 0.0.0.20190110_28_ga81397fc4 +- category: main + dependencies: + cairo: '>=1.16.0,<2.0a0' + libffi: '>=3.4,<4.0a0' + libgcc-ng: '>=12' + libglib: '>=2.78.0,<3.0a0' + hash: + md5: 806406c7008aab9b295d0cea4d5f90e0 + sha256: 1393f41401f5858e12ec77476e844b86c4d11cc0d82150adaca74f0401cd1b87 + manager: conda + name: libgirepository + optional: false + platform: linux-64 + url: https://conda.anaconda.org/conda-forge/linux-64/libgirepository-1.78.1-h003a4f0_1.conda + version: 1.78.1 - category: main dependencies: libblas: '>=3.9.0,<4.0a0' @@ -4535,14 +4591,14 @@ package: python: '>=3.10,<3.11.0a0' python_abi: 3.10.* *_cp310 hash: - md5: e5e9c6f112d581cdf465b8ca861cb14f - sha256: bd199b12daf8713d2975e9b940e913cbb25527e5502c98bbf7acf16f992f6e66 + md5: 6593de64c935768b6bad3e19b3e978be + sha256: 028fe2ea8e915a0a032b75165f11747770326f3d767e642880540c60a3256425 manager: conda name: numpy optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/numpy-1.26.3-py310hb13e2d6_0.conda - version: 1.26.3 + url: https://conda.anaconda.org/conda-forge/linux-64/numpy-1.26.4-py310hb13e2d6_0.conda + version: 1.26.4 - category: main dependencies: pip: '' @@ -4568,6 +4624,21 @@ package: platform: linux-64 url: https://conda.anaconda.org/conda-forge/noarch/prompt_toolkit-3.0.38-hd8ed1ab_0.conda version: 3.0.38 +- category: main + dependencies: + cairo: '>=1.18.0,<2.0a0' + libgcc-ng: '>=12' + python: '>=3.10,<3.11.0a0' + python_abi: 3.10.* *_cp310 + hash: + md5: 2833c3b882ab9805f5b5b70e2da27e0d + sha256: bec25d3ec15df1159136aee992ab9e7c5144ee31dd2f14080d64be0757545676 + manager: conda + name: pycairo + optional: false + platform: linux-64 + url: https://conda.anaconda.org/conda-forge/linux-64/pycairo-1.26.0-py310hda9f760_0.conda + version: 1.26.0 - category: main dependencies: libgcc-ng: '>=12' @@ -4575,14 +4646,14 @@ package: python_abi: 3.10.* *_cp310 typing-extensions: '>=4.6.0,!=4.7.0' hash: - md5: f0f6b7e4b3d134f070288963ed4fbeee - sha256: 3bdc1ef89f9e431392aec8ed5695d8aefc7b65a014cc46934f75e87779f89745 + md5: 3f7aa5bfda188d57c4741de6fcc15330 + sha256: 0048a136343af983b6f6ee9fc6a65259d231eb3e90c57b2f9adaef725b64b17e manager: conda name: pydantic-core optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/pydantic-core-2.14.6-py310hcb5633a_1.conda - version: 2.14.6 + url: https://conda.anaconda.org/conda-forge/linux-64/pydantic-core-2.16.3-py310hcb5633a_0.conda + version: 2.16.3 - category: main dependencies: cffi: '>=1.4.1' @@ -4647,14 +4718,14 @@ package: pip: '' python: '>=3.7,<4.0' hash: - md5: a699f404daa279b4cb41a047cf70c94a - sha256: 8cf9f34336ef7af3479a03682e171c6a2c5837cb86bf9e4c2582b75cf3a27ab9 + md5: f671fde867933dbb5b408b33609dc5fb + sha256: 9d9c7fbc77963c0c2da6e0d495a049f0540ed94d39e24cd8307d1b6ae0c03bfb manager: conda name: types-awscrt optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/types-awscrt-0.20.2-pyhd8ed1ab_0.conda - version: 0.20.2 + url: https://conda.anaconda.org/conda-forge/noarch/types-awscrt-0.20.4-pyhd8ed1ab_0.conda + version: 0.20.4 - category: main dependencies: cffi: '' @@ -4716,14 +4787,14 @@ package: python_abi: 3.10.* *_cp310 yarl: '>=1.0,<2.0' hash: - md5: f367877549376e985a3df1dc430692ae - sha256: 6a3983f2ee81308ae0716790ae780f63915f47fcd6a1038d3c75a78fcb675f23 + md5: 7125fe11f08cdc41de9734dfb2ffd35b + sha256: a0346028476deb19143b70a554a3c0b4bf03f2c344d4011385bacc6caabc669e manager: conda name: aiohttp optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/aiohttp-3.9.1-py310h2372a71_0.conda - version: 3.9.1 + url: https://conda.anaconda.org/conda-forge/linux-64/aiohttp-3.9.3-py310h2372a71_0.conda + version: 3.9.3 - category: main dependencies: botocore: '>=1.11.3' @@ -4769,42 +4840,42 @@ package: six: '>=1.11.0' typing-extensions: '>=4.6.0' hash: - md5: 64d436079b1422e0483b0fbb326622a2 - sha256: 9a9ea330870d2655348fcb8c87a5fa421f3b6c3e347653131d7104f04daad5b8 + md5: 71ea9971e9ca725848c0a62a7f69cebf + sha256: 8306c733f443d158c0c7d313bebf171d5bd814e1b38ef09b7ed065b4c4253242 manager: conda name: azure-core optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/azure-core-1.29.7-pyhd8ed1ab_0.conda - version: 1.29.7 + url: https://conda.anaconda.org/conda-forge/noarch/azure-core-1.30.0-pyhd8ed1ab_0.conda + version: 1.30.0 - category: main dependencies: python: '>=3.8,<4.0' types-awscrt: '' typing_extensions: '>=4.1.0' hash: - md5: 7122b5ba8371cf83cf9593b65b57e49d - sha256: 8b4cca90a4056f0d6e30e324468c21c2e43cb3ed9154f0b26e225b22654874b7 + md5: 3d1805301dac7b46149af5cdebc853dc + sha256: 833a0d3b6b9d0be86869fa9cc4eca36febf61951d6195bd8d3d14c1d4719011a manager: conda name: botocore-stubs optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/botocore-stubs-1.34.23-pyhd8ed1ab_0.conda - version: 1.34.23 + url: https://conda.anaconda.org/conda-forge/noarch/botocore-stubs-1.34.49-pyhd8ed1ab_0.conda + version: 1.34.49 - category: main dependencies: msgpack-python: '>=0.5.2' python: '>=3.7' requests: '>=2.16.0' hash: - md5: 174bd699bb5aa9e2622eb4b288276ff8 - sha256: aae7ab3a54989f9bf9273e4a17c911ba339a8b9354250bc11fb8eff2e3f4be60 + md5: a661c39e223bf3038b38126b0bbf43d9 + sha256: 3318732d60456c5ecc0db14a7343a320ea88e05ae168aea4164d7f9ec7907142 manager: conda name: cachecontrol optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/cachecontrol-0.13.1-pyhd8ed1ab_0.conda - version: 0.13.1 + url: https://conda.anaconda.org/conda-forge/noarch/cachecontrol-0.14.0-pyhd8ed1ab_0.conda + version: 0.14.0 - category: main dependencies: clang-format: 17.0.6 default_hb11cfb5_2 @@ -4857,17 +4928,18 @@ package: appdirs: '' click: '>=5.1' filelock: '' + packaging: '' python: '>=3.7' requests: '>=2' hash: - md5: c99ae3abf501990769047b4b40a98f17 - sha256: b71784b6c24d2320b2f796d074e75e7dd1be7b7fc0f719c5cf3a582270b368d6 + md5: e54a91c3a65491b13c68f7696425bac8 + sha256: a115afdc676c95a17ab63bbda84b7b724bc8817ae54fa34f8991339252424959 manager: conda name: ensureconda optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/ensureconda-1.4.3-pyhd8ed1ab_0.tar.bz2 - version: 1.4.3 + url: https://conda.anaconda.org/conda-forge/noarch/ensureconda-1.4.4-pyhd8ed1ab_0.conda + version: 1.4.4 - category: main dependencies: flask: '>=0.9' @@ -4887,14 +4959,14 @@ package: python: '>=3.6' ukkonen: '' hash: - md5: 93c8f8ceb83827d88deeba796f07fba7 - sha256: ce2a64c18221af96226be23278d81f22ff9f64b3c047d8865590f6718915303f + md5: 9472bfd206a2b7bb8143835e37667054 + sha256: 971683b13d1b820157bef9993c63dd8b0611d2d60fc4b522da163aee2e70e518 manager: conda name: identify optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/identify-2.5.33-pyhd8ed1ab_0.conda - version: 2.5.33 + url: https://conda.anaconda.org/conda-forge/noarch/identify-2.5.35-pyhd8ed1ab_0.conda + version: 2.5.35 - category: main dependencies: importlib_metadata: '' @@ -4958,19 +5030,19 @@ package: version: 0.0.0.20190110_28_ga81397fc4 - category: main dependencies: - cryptography: <44,>=0.6 + cryptography: <45,>=0.6 pyjwt: <3,>=1.0.0 python: '>=3.6' requests: <3,>=2.0.0 hash: - md5: 9d9b0ef23f5dbe0d612ca037b9bfb410 - sha256: e0eb5606b4a164964d663d7a215ac9cd18db1ce1eca544aa61348e0a2bda94a9 + md5: 95a138b82ec36756dd8c4bd25e564597 + sha256: e3f5876e8ea2078aba5b34d6dde53910afc8f88bf6d9eec11c0bee9eb9ba6ea8 manager: conda name: msal optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/msal-1.26.0-pyhd8ed1ab_0.conda - version: 1.26.0 + url: https://conda.anaconda.org/conda-forge/noarch/msal-1.27.0-pyhd8ed1ab_0.conda + version: 1.27.0 - category: main dependencies: alsa-lib: '>=1.2.10,<1.2.11.0a0' @@ -5012,14 +5084,14 @@ package: python_abi: 3.10.* *_cp310 pytz: '>=2020.1' hash: - md5: 514c836161e8b2e43e7d8fb7a28a92c4 - sha256: 540cb88ff475938dc8fd0b55a911db5daf509603eca385d2bad55013bf17e453 + md5: cf5d315e3601a6a2931f63aa9a84dc40 + sha256: 275bfe1485072153687b121b435864d97105928c674428348448d79ad85565aa manager: conda name: pandas optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/pandas-2.2.0-py310hcc13569_0.conda - version: 2.2.0 + url: https://conda.anaconda.org/conda-forge/linux-64/pandas-2.2.1-py310hcc13569_0.conda + version: 2.2.1 - category: main dependencies: cairo: '>=1.16.0,<2.0a0' @@ -5058,18 +5130,38 @@ package: - category: main dependencies: annotated-types: '>=0.4.0' - pydantic-core: 2.14.6 + pydantic-core: 2.16.3 python: '>=3.7' typing-extensions: '>=4.6.1' hash: - md5: 3569001fd8b37e542aaefdf5de124e19 - sha256: 07ec778cbf17737b740f0547fb5cba91a7bff52945fe637287458e4119c58ffe + md5: b6343b653c5ca8fb18af03f3f5d1cd9f + sha256: ff6728ec56f8cc5d0c6dba999de6299f3ce4aa2624b552194dafdb5af1c7fecd manager: conda name: pydantic optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/pydantic-2.5.3-pyhd8ed1ab_0.conda - version: 2.5.3 + url: https://conda.anaconda.org/conda-forge/noarch/pydantic-2.6.2-pyhd8ed1ab_0.conda + version: 2.6.2 +- category: main + dependencies: + cairo: '>=1.16.0,<2.0a0' + libffi: '>=3.4,<4.0a0' + libgcc-ng: '>=12' + libgirepository: '' + libglib: '>=2.78.0,<3.0a0' + libiconv: '' + pycairo: '' + python: '>=3.10,<3.11.0a0' + python_abi: 3.10.* *_cp310 + hash: + md5: d510c2f08eac618f03010d150e57dce6 + sha256: d57070667fd3473ae37a6a7ad864a243ff0e6c8eef7969a92958f117d5495d75 + manager: conda + name: pygobject + optional: false + platform: linux-64 + url: https://conda.anaconda.org/conda-forge/linux-64/pygobject-3.46.0-py310h30b043a_1.conda + version: 3.46.0 - category: main dependencies: cryptography: '>=38.0.0,<41' @@ -5108,14 +5200,14 @@ package: typing_extensions: '' urllib3: '>=1.25.10,<3.0' hash: - md5: b1b80aaa77d5e83183cd0c9e9025b1fa - sha256: 35a1cc20beca329bfa2b17feeb5ca66a2741bdccf39621dfe386f48206e73d67 + md5: 3a3a9d37b275336a17386f80bfcca835 + sha256: ffda7ac561d4b946dd8e2be9126a0418933340d345f3b96e3c9c4a1968bf3c3f manager: conda name: responses optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/responses-0.24.1-pyhd8ed1ab_0.conda - version: 0.24.1 + url: https://conda.anaconda.org/conda-forge/noarch/responses-0.25.0-pyhd8ed1ab_0.conda + version: 0.25.0 - category: main dependencies: botocore: '>=1.33.2,<2.0a.0' @@ -5190,43 +5282,43 @@ package: ruamel.yaml.clib: '>=0.2.0,<=0.2.7' urllib3: '>=1.25.4,<1.27' hash: - md5: 5ef7b8dcc094f40102601e5e2e145da1 - sha256: dce1ad33a64336a692bd6230becb27fb1d282bb8903e82c2dad1056858c69f63 + md5: 83031b645851f8a05cffa7d69e29e188 + sha256: a7c3ddb6efec9d16bfeb33e64d0016e782a9f5c6bed6a52600b384f4282a8152 manager: conda name: awscli optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/awscli-2.15.12-py310hff52083_1.conda - version: 2.15.12 + url: https://conda.anaconda.org/conda-forge/linux-64/awscli-2.15.23-py310hff52083_1.conda + version: 2.15.23 - category: main dependencies: - botocore: '>=1.34.23,<1.35.0' + botocore: '>=1.34.49,<1.35.0' jmespath: '>=0.7.1,<2.0.0' python: '>=3.8' s3transfer: '>=0.10.0,<0.11.0' hash: - md5: 49c89cef4cf380d165d479bf7f14ee0d - sha256: 2098c4255bf6b338c2bd757a53270e3454acd9846ec4c7d67dd9092a6c043cfa + md5: 818f33e8f923de31137749661b058ad7 + sha256: 2cec579fa4d896f93c51299db7d4a834e15ef2cc51202ec5a2206668cb29b6a3 manager: conda name: boto3 optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/boto3-1.34.23-pyhd8ed1ab_0.conda - version: 1.34.23 + url: https://conda.anaconda.org/conda-forge/noarch/boto3-1.34.49-pyhd8ed1ab_0.conda + version: 1.34.49 - category: main dependencies: - cachecontrol: 0.13.1 pyhd8ed1ab_0 + cachecontrol: 0.14.0 pyhd8ed1ab_0 filelock: '>=3.8.0' python: '>=3.7' hash: - md5: 8c4781ca0893cff3a64423954ce234a1 - sha256: 7fd3cd4a667da284ae3aad9b8cb4d592099bc02ed6566cbae00bd8c0b0604e85 + md5: 4c08fa6e7d1d3f124ad815e21b2210e9 + sha256: 89a9061aafc28c0e0e2db49a5b99e99797ed3a7127c31deda0cceb4696ae627f manager: conda name: cachecontrol-with-filecache optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/cachecontrol-with-filecache-0.13.1-pyhd8ed1ab_0.conda - version: 0.13.1 + url: https://conda.anaconda.org/conda-forge/noarch/cachecontrol-with-filecache-0.14.0-pyhd8ed1ab_0.conda + version: 0.14.0 - category: main dependencies: conda-package-streaming: '>=0.9.0' @@ -5346,28 +5438,32 @@ package: python_abi: 3.10.* *_cp310 tk: '>=8.6.13,<8.7.0a0' hash: - md5: 3cbbc7d0b54df02c9a006d3de14911d9 - sha256: 078f5f1ece533a03710dd6d644555f1f2f4cbe18f1412d695ffb304e3d8c9381 + md5: 4a7296c0273eb01dfbed728dd6a6725a + sha256: f3179a086a10a0d7561b5935cfa5986ed9d1fd15b86f5a68de813455cd58f98f manager: conda name: matplotlib-base optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/linux-64/matplotlib-base-3.8.2-py310h62c0568_0.conda - version: 3.8.2 + url: https://conda.anaconda.org/conda-forge/linux-64/matplotlib-base-3.8.3-py310h62c0568_0.conda + version: 3.8.3 - category: main dependencies: + libsecret: '' msal: '>=0.4.1,<2.0' + packaging: '' portalocker: '>=1.6,<3.0' - python: '>=3.6' + pygobject: '>=3,<4' + python: '>=3.10,<3.11.0a0' + python_abi: 3.10.* *_cp310 hash: - md5: db0e3cc6e5e99baf237a2ab73898f553 - sha256: 73096cb7f0939595651fb1555a201b3a31adb3dfeb833e70af2d4313015dd51f + md5: a8231550fc2d15db85015e1f994cf240 + sha256: 7cf987690fe7536895ca8ccb2ff73e932fe6d93e46237efcf5dfe3eed9f68a77 manager: conda name: msal_extensions optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/msal_extensions-1.0.0-pyhd8ed1ab_0.tar.bz2 - version: 1.0.0 + url: https://conda.anaconda.org/conda-forge/linux-64/msal_extensions-1.1.0-py310hff52083_1.conda + version: 1.1.0 - category: main dependencies: jsonschema: '>=4.19.1,<5.0.0a0' @@ -5392,14 +5488,14 @@ package: pyyaml: '>=5.1' virtualenv: '>=20.10.0' hash: - md5: 473a7cfca197da0a10cff3f6dded7d4b - sha256: 7d1f4b4a2eb4946b5808769642c5f643788c3a9e090f1c02a6c63f8794fb3d54 + md5: 61534ee57ffdf26d7b1b514d33daccc4 + sha256: 8eb9f5965c37d2bbee9302e16cc7c5517ee06491986356112be13431a043681e manager: conda name: pre-commit optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/pre-commit-3.6.0-pyha770c72_0.conda - version: 3.6.0 + url: https://conda.anaconda.org/conda-forge/noarch/pre-commit-3.6.2-pyha770c72_0.conda + version: 3.6.2 - category: main dependencies: __unix: '' @@ -5421,14 +5517,14 @@ package: python: '>=3.7,<4.0' typing-extensions: '>=4.4' hash: - md5: cf935f13e0519eef2b83e63a4272ef2d - sha256: f588769f8ca933c3b22bc2fb2af55c2783bbe4e2615e9c38adc76163da670e27 + md5: 795a2e0a9317acfbef5d47ae7a2fcac1 + sha256: 245963a3d07f7cc6e79c3ddf3b5d33dede0f249bd95d6533ed3f460cc7f134ea manager: conda name: aws-sam-translator optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/aws-sam-translator-1.83.0-pyhd8ed1ab_0.conda - version: 1.83.0 + url: https://conda.anaconda.org/conda-forge/noarch/aws-sam-translator-1.85.0-pyhd8ed1ab_0.conda + version: 1.85.0 - category: main dependencies: azure-core: <2.0.0,>=1.23.0 @@ -5451,14 +5547,14 @@ package: python: '' typing_extensions: '' hash: - md5: 42ee533bf20660a4132b7f3ce6b45ef9 - sha256: 72c4234eba8c6d5c125bb21dabc478feb97ab68295e06d1b9fa07a7ec26c6e68 + md5: 31d2264d3aa4eb75f13a7741e5519ed2 + sha256: baa640afe9eb7e9a720232d2fa6d7c2d4f3d35b42ae2974651e71b33c03e2ae2 manager: conda name: boto3-stubs optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/boto3-stubs-1.34.23-pyhd8ed1ab_0.conda - version: 1.34.23 + url: https://conda.anaconda.org/conda-forge/noarch/boto3-stubs-1.34.49-pyhd8ed1ab_0.conda + version: 1.34.49 - category: main dependencies: archspec: '' @@ -5564,14 +5660,14 @@ package: python: '>=3.6' typing-extensions: '' hash: - md5: 0aedc754685324ef7f10093f83b79337 - sha256: da027403a9333979e734bec2d4089ec3f1a574de451bdc366cd3f9fb06551a1c + md5: 41b56eb093c6e10e3fd211656ad4e74e + sha256: 758fd7af61990c9890c2895a71b9e2644d41296a8461bc4a5aa838486b664eaa manager: conda name: mypy_boto3_ec2 optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/mypy_boto3_ec2-1.34.17-pyhd8ed1ab_0.conda - version: 1.34.17 + url: https://conda.anaconda.org/conda-forge/noarch/mypy_boto3_ec2-1.34.30-pyhd8ed1ab_0.conda + version: 1.34.30 - category: main dependencies: importlib_resources: '>=5.8,<7.0' @@ -5605,7 +5701,7 @@ package: version: 0.4.2 - category: main dependencies: - aws-sam-translator: '>=1.83.0' + aws-sam-translator: '>=1.84.0' jschema-to-python: '>=1.2.3,<1.3.dev0' jsonpatch: '' jsonschema: '>=3.0,<5' @@ -5617,14 +5713,14 @@ package: sarif-om: '>=1.0.4,<1.1.dev0' sympy: '>=1.0.0' hash: - md5: c77ca2cb441d25ab24b73c1318facee1 - sha256: 6b0c1b6161052c7c2d15b32bc58267316cd5d983e701056fb9fe3e6ade903299 + md5: 9e0b218b8aef61acaba5e021699271f6 + sha256: 5a4c1ac65bab587225706e9c2b393130c2d958da50a4e1c9ef06ab640610bb2c manager: conda name: cfn-lint optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/cfn-lint-0.84.0-pyhd8ed1ab_0.conda - version: 0.84.0 + url: https://conda.anaconda.org/conda-forge/noarch/cfn-lint-0.85.2-pyhd8ed1ab_0.conda + version: 0.85.2 - category: main dependencies: colorama: '' @@ -5700,28 +5796,29 @@ package: werkzeug: '>=0.5,!=2.2.0,!=2.2.1' xmltodict: '' hash: - md5: f7a4a329637c29a72236ab2f34225fcd - sha256: f6b71acc587d2eeafe926e750f3baa7d45ce406077aa514478b729edc8b82abc + md5: 0bab0cb52bb79f684915a650c5452b33 + sha256: bb03fa39768749a64bcd4204546e3c9e348c7702bfa979ddfc73575a1b6a9ff4 manager: conda name: moto optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/moto-4.2.13-pyhd8ed1ab_0.conda - version: 4.2.13 + url: https://conda.anaconda.org/conda-forge/noarch/moto-5.0.1-pyhd8ed1ab_0.conda + version: 5.0.1 - category: main dependencies: - livereload: '>=2.3.0' - python: '>=3.6' + colorama: '' + livereload: '' + python: '>=3.9' sphinx: '' hash: - md5: 1909f784dc37b4ab97afe2c95aeeabaa - sha256: 1c07ab809254c2454c5417c5be01af2dc8bcaae2f3315a0a9d8812997ede8297 + md5: 13996799cc0b00919a3c1b9b02e02217 + sha256: 13e095036649519562f4d1add7eb16004f8ad65efb854b11e836d48bb57e5df9 manager: conda name: sphinx-autobuild optional: false platform: linux-64 - url: https://conda.anaconda.org/conda-forge/noarch/sphinx-autobuild-2021.3.14-pyhd8ed1ab_0.tar.bz2 - version: 2021.3.14 + url: https://conda.anaconda.org/conda-forge/noarch/sphinx-autobuild-2024.2.4-pyhd8ed1ab_0.conda + version: 2024.2.4 - category: main dependencies: python: '>=3.9' diff --git a/docs/Chipyard-Basics/Initial-Repo-Setup.rst b/docs/Chipyard-Basics/Initial-Repo-Setup.rst index 948b7e2ee0..1f3481899c 100644 --- a/docs/Chipyard-Basics/Initial-Repo-Setup.rst +++ b/docs/Chipyard-Basics/Initial-Repo-Setup.rst @@ -15,8 +15,8 @@ Running on AWS EC2 with FireSim ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ If you plan on using Chipyard alongside FireSim on AWS EC2 instances, you should refer to the :fsim_doc:`FireSim documentation <>`. -Specifically, you should follow the :fsim_doc:`Initial Setup/Installation ` -section of the docs up until :fsim_doc:`Setting up the FireSim Repo `. +Specifically, you should follow the :fsim_doc:`Initial Setup/Installation ` +section of the docs up until :fsim_doc:`Setting up the FireSim Repo `. At that point, instead of cloning FireSim you can clone Chipyard by following :ref:`Chipyard-Basics/Initial-Repo-Setup:Setting up the Chipyard Repo`. Default Requirements Installation diff --git a/docs/Simulation/FPGA-Accelerated-Simulation.rst b/docs/Simulation/FPGA-Accelerated-Simulation.rst index 0e1262528d..01585c59f9 100644 --- a/docs/Simulation/FPGA-Accelerated-Simulation.rst +++ b/docs/Simulation/FPGA-Accelerated-Simulation.rst @@ -26,12 +26,14 @@ Finally, source the following environment at the root of the FireSim directory: cd sims/firesim # (Recommended) The default manager environment (includes env.sh) - source sourceme-manager.sh + source sourceme-manager.sh` + # Completing setup using the manager + firesim managerinit --platform f1 .. Note:: Every time you want to use FireSim with a fresh shell, you must source ``sourceme-manager.sh`` At this point you're ready to use FireSim with Chipyard. If you're not already -familiar with FireSim, please return to the :fsim_doc:`FireSim Docs `, +familiar with FireSim, please return to the :fsim_doc:`FireSim Docs `, and proceed with the rest of the tutorial. Running your Design in FireSim @@ -47,7 +49,7 @@ A FireSim simulation requires 3 additional config fragments: The simplest method to add this config fragments to your custom Chipyard config is through FireSim's build recipe scheme. -After your FireSim environment is setup, you will define your custom build recipe in ``sims/firesim/deploy/deploy/config_build_recipes.ini``. By prepending the FireSim config fragments (separated by ``_``) to your Chipyard configuration, these config fragments will be added to your custom configuration as if they were listed in a custom Chisel config class definition. For example, if you would like to convert the Chipyard ``LargeBoomConfig`` to a FireSim simulation with a DDR3 memory model, the appropriate FireSim ``TARGET_CONFIG`` would be ``DDR3FRFCFSLLC4MB_WithDefaultFireSimBridges_WithFireSimConfigTweaks_chipyard.LargeBoomConfig``. Note that the FireSim config fragments are part of the ``firesim.firesim`` scala package and therefore there do not need to be prefixed with the full package name as opposed to the Chipyard config fragments which need to be prefixed with the chipyard package name. +After your FireSim environment is setup, you will define your custom build recipe in ``sims/firesim/deploy/config_build_recipes.yaml``. By prepending the FireSim config fragments (separated by ``_``) to your Chipyard configuration, these config fragments will be added to your custom configuration as if they were listed in a custom Chisel config class definition. For example, if you would like to convert the Chipyard ``LargeBoomConfig`` to a FireSim simulation with a DDR3 memory model, the appropriate FireSim ``TARGET_CONFIG`` would be ``DDR3FRFCFSLLC4MB_WithDefaultFireSimBridges_WithFireSimConfigTweaks_chipyard.LargeBoomConfig``. Note that the FireSim config fragments are part of the ``firesim.firesim`` scala package and therefore there do not need to be prefixed with the full package name as opposed to the Chipyard config fragments which need to be prefixed with the chipyard package name. An alternative method to prepending the FireSim config fragments in the FireSim build recipe is to create a new "permanent" FireChip custom configuration, which includes the FireSim config fragments. We are using the same target (top) RTL, and only need to specify a new set of connection behaviors for the IOs of that module. Simply create a matching config within ``generators/firechip/src/main/scala/TargetConfigs`` that inherits your config defined in ``chipyard``. @@ -59,3 +61,5 @@ We are using the same target (top) RTL, and only need to specify a new set of co :end-before: DOC include end: firesimconfig While this option seems to require the maintenance of additional configuration code, it has the benefit of allowing for the inclusion of more complex config fragments which also accept custom arguments (for example, ``WithDefaultMemModel`` can take an optional argument``) + +For more information on how to build your own hardware design on FireSim, please refer to :fsim_doc:`FireSim Docs `. diff --git a/fpga/src/main/scala/arty100t/Configs.scala b/fpga/src/main/scala/arty100t/Configs.scala index bb4b8e223e..f64dbdf3b8 100644 --- a/fpga/src/main/scala/arty100t/Configs.scala +++ b/fpga/src/main/scala/arty100t/Configs.scala @@ -58,5 +58,5 @@ class NoCoresArty100TConfig extends Config( class BringupArty100TConfig extends Config( new WithArty100TSerialTLToGPIO ++ new WithArty100TTweaks(freqMHz = 50) ++ - new testchipip.serdes.WithSerialTLPHYParams(testchipip.serdes.InternalSyncSerialParams(freqMHz=50)) ++ + new testchipip.serdes.WithSerialTLPHYParams(testchipip.serdes.InternalSyncSerialPhyParams(freqMHz=50)) ++ new chipyard.ChipBringupHostConfig) diff --git a/fpga/src/main/scala/arty100t/HarnessBinders.scala b/fpga/src/main/scala/arty100t/HarnessBinders.scala index 11a99421e2..c2b89a2453 100644 --- a/fpga/src/main/scala/arty100t/HarnessBinders.scala +++ b/fpga/src/main/scala/arty100t/HarnessBinders.scala @@ -61,10 +61,10 @@ class WithArty100TSerialTLToGPIO extends HarnessBinder({ harnessIO <> port.io harnessIO match { - case io: DecoupledSerialIO => { + case io: DecoupledPhitIO => { val clkIO = io match { - case io: InternalSyncSerialIO => IOPin(io.clock_out) - case io: ExternalSyncSerialIO => IOPin(io.clock_in) + case io: InternalSyncPhitIO => IOPin(io.clock_out) + case io: ExternalSyncPhitIO => IOPin(io.clock_in) } val packagePinsWithPackageIOs = Seq( ("G13", clkIO), @@ -72,14 +72,14 @@ class WithArty100TSerialTLToGPIO extends HarnessBinder({ ("A11", IOPin(io.out.ready)), ("D12", IOPin(io.in.valid)), ("D13", IOPin(io.in.ready)), - ("B18", IOPin(io.out.bits, 0)), - ("A18", IOPin(io.out.bits, 1)), - ("K16", IOPin(io.out.bits, 2)), - ("E15", IOPin(io.out.bits, 3)), - ("E16", IOPin(io.in.bits, 0)), - ("D15", IOPin(io.in.bits, 1)), - ("C15", IOPin(io.in.bits, 2)), - ("J17", IOPin(io.in.bits, 3)) + ("B18", IOPin(io.out.bits.phit, 0)), + ("A18", IOPin(io.out.bits.phit, 1)), + ("K16", IOPin(io.out.bits.phit, 2)), + ("E15", IOPin(io.out.bits.phit, 3)), + ("E16", IOPin(io.in.bits.phit, 0)), + ("D15", IOPin(io.in.bits.phit, 1)), + ("C15", IOPin(io.in.bits.phit, 2)), + ("J17", IOPin(io.in.bits.phit, 3)) ) packagePinsWithPackageIOs foreach { case (pin, io) => { artyTh.xdc.addPackagePin(io, pin) @@ -88,10 +88,10 @@ class WithArty100TSerialTLToGPIO extends HarnessBinder({ // Don't add IOB to the clock, if its an input io match { - case io: InternalSyncSerialIO => packagePinsWithPackageIOs foreach { case (pin, io) => { + case io: InternalSyncPhitIO => packagePinsWithPackageIOs foreach { case (pin, io) => { artyTh.xdc.addIOB(io) }} - case io: ExternalSyncSerialIO => packagePinsWithPackageIOs.drop(1).foreach { case (pin, io) => { + case io: ExternalSyncPhitIO => packagePinsWithPackageIOs.drop(1).foreach { case (pin, io) => { artyTh.xdc.addIOB(io) }} } diff --git a/fpga/src/main/scala/vcu118/Configs.scala b/fpga/src/main/scala/vcu118/Configs.scala index ff46deee15..4935528440 100644 --- a/fpga/src/main/scala/vcu118/Configs.scala +++ b/fpga/src/main/scala/vcu118/Configs.scala @@ -54,11 +54,11 @@ class WithVCU118Tweaks extends Config( new WithUART ++ new WithSPISDCard ++ new WithDDRMem ++ + new WithJTAG ++ // other configuration new WithDefaultPeripherals ++ new chipyard.config.WithTLBackingMemory ++ // use TL backing memory new WithSystemModifications ++ // setup busses, use sdboot bootrom, setup ext. mem. size - new chipyard.config.WithNoDebug ++ // remove debug module new freechips.rocketchip.subsystem.WithoutTLMonitors ++ new freechips.rocketchip.subsystem.WithNMemoryChannels(1) ) diff --git a/fpga/src/main/scala/vcu118/HarnessBinders.scala b/fpga/src/main/scala/vcu118/HarnessBinders.scala index c03f551fba..a4b52c638c 100644 --- a/fpga/src/main/scala/vcu118/HarnessBinders.scala +++ b/fpga/src/main/scala/vcu118/HarnessBinders.scala @@ -36,3 +36,17 @@ class WithDDRMem extends HarnessBinder({ ddrClientBundle <> port.io } }) + +class WithJTAG extends HarnessBinder({ + case (th: VCU118FPGATestHarnessImp, port: JTAGPort, chipId: Int) => { + val jtag_io = th.vcu118Outer.jtagPlacedOverlay.overlayOutput.jtag.getWrappedValue + port.io.TCK := jtag_io.TCK + port.io.TMS := jtag_io.TMS + port.io.TDI := jtag_io.TDI + jtag_io.TDO.data := port.io.TDO + jtag_io.TDO.driven := true.B + // ignore srst_n + jtag_io.srst_n := DontCare + + } +}) diff --git a/fpga/src/main/scala/vcu118/TestHarness.scala b/fpga/src/main/scala/vcu118/TestHarness.scala index 78dee3e6ec..b707d14486 100644 --- a/fpga/src/main/scala/vcu118/TestHarness.scala +++ b/fpga/src/main/scala/vcu118/TestHarness.scala @@ -85,6 +85,9 @@ class VCU118FPGATestHarness(override implicit val p: Parameters) extends VCU118S ))))) ddrNode := TLWidthWidget(dp(ExtTLMem).get.master.beatBytes) := ddrClient + /*** JTAG ***/ + val jtagPlacedOverlay = dp(JTAGDebugOverlayKey).head.place(JTAGDebugDesignInput()) + // module implementation override lazy val module = new VCU118FPGATestHarnessImp(this) } diff --git a/generators/chipyard/src/main/scala/DigitalTop.scala b/generators/chipyard/src/main/scala/DigitalTop.scala index bd82585b22..ae0c8dad75 100644 --- a/generators/chipyard/src/main/scala/DigitalTop.scala +++ b/generators/chipyard/src/main/scala/DigitalTop.scala @@ -19,7 +19,8 @@ class DigitalTop(implicit p: Parameters) extends ChipyardSystem with testchipip.cosim.CanHaveTraceIO // Enables optionally adding trace IO with testchipip.soc.CanHaveBankedScratchpad // Enables optionally adding a banked scratchpad with testchipip.iceblk.CanHavePeripheryBlockDevice // Enables optionally adding the block device - with testchipip.serdes.CanHavePeripheryTLSerial // Enables optionally adding the backing memory and serial adapter + with testchipip.serdes.CanHavePeripheryTLSerial // Enables optionally adding the tl-serial interface + with testchipip.serdes.old.CanHavePeripheryTLSerial // Enables optionally adding the DEPRECATED tl-serial interface with testchipip.soc.CanHavePeripheryChipIdPin // Enables optional pin to set chip id for multi-chip configs with sifive.blocks.devices.i2c.HasPeripheryI2C // Enables optionally adding the sifive I2C with sifive.blocks.devices.timer.HasPeripheryTimer // Enables optionally adding the timer device diff --git a/generators/chipyard/src/main/scala/config/AbstractConfig.scala b/generators/chipyard/src/main/scala/config/AbstractConfig.scala index 84fc3cd329..5ad2066153 100644 --- a/generators/chipyard/src/main/scala/config/AbstractConfig.scala +++ b/generators/chipyard/src/main/scala/config/AbstractConfig.scala @@ -67,8 +67,8 @@ class AbstractConfig extends Config( // External memory section new testchipip.serdes.WithSerialTL(Seq( /** add a serial-tilelink interface */ testchipip.serdes.SerialTLParams( - client = Some(testchipip.serdes.SerialTLClientParams(idBits=4)), /** serial-tilelink interface will master the FBUS, and support 4 idBits */ - phyParams = testchipip.serdes.ExternalSyncSerialParams(width=32) /** serial-tilelink interface with 32 lanes */ + client = Some(testchipip.serdes.SerialTLClientParams(totalIdBits=4)), // serial-tilelink interface will master the FBUS, and support 4 idBits + phyParams = testchipip.serdes.ExternalSyncSerialPhyParams(phitWidth=32, flitWidth=32) // serial-tilelink interface with 32 lanes ) )) ++ new freechips.rocketchip.subsystem.WithNMemoryChannels(1) ++ /** Default 1 AXI-4 memory channels */ diff --git a/generators/chipyard/src/main/scala/config/ChipConfigs.scala b/generators/chipyard/src/main/scala/config/ChipConfigs.scala index 52f39a0348..c34492a47d 100644 --- a/generators/chipyard/src/main/scala/config/ChipConfigs.scala +++ b/generators/chipyard/src/main/scala/config/ChipConfigs.scala @@ -31,7 +31,7 @@ class ChipLikeRocketConfig extends Config( isMemoryDevice = true )), client = Some(testchipip.serdes.SerialTLClientParams()), // Allow an external manager to probe this chip - phyParams = testchipip.serdes.ExternalSyncSerialParams(width=4) // 4-bit bidir interface, sync'd to an external clock + phyParams = testchipip.serdes.ExternalSyncSerialPhyParams(phitWidth=4, flitWidth=16) // 4-bit bidir interface, sync'd to an external clock ))) ++ new freechips.rocketchip.subsystem.WithNoMemPort ++ // Remove axi4 mem port @@ -77,8 +77,8 @@ class ChipBringupHostConfig extends Config( size = BigInt("80000000", 16) )) )), - client = Some(testchipip.serdes.SerialTLClientParams()), // Allow chip to access this device's memory (DRAM) - phyParams = testchipip.serdes.InternalSyncSerialParams(width=4, freqMHz = 75) // bringup platform provides the clock + client = Some(testchipip.serdes.SerialTLClientParams()), // Allow chip to access this device's memory (DRAM) + phyParams = testchipip.serdes.InternalSyncSerialPhyParams(phitWidth=4, flitWidth=16, freqMHz = 75) // bringup platform provides the clock ))) ++ //============================ diff --git a/generators/chipyard/src/main/scala/config/ChipletConfigs.scala b/generators/chipyard/src/main/scala/config/ChipletConfigs.scala index a7d2113f81..dbf3fa0a5d 100644 --- a/generators/chipyard/src/main/scala/config/ChipletConfigs.scala +++ b/generators/chipyard/src/main/scala/config/ChipletConfigs.scala @@ -16,7 +16,7 @@ class SymmetricChipletRocketConfig extends Config( new testchipip.serdes.WithSerialTL(Seq( testchipip.serdes.SerialTLParams( // 0th serial-tl is chip-to-bringup-fpga client = Some(testchipip.serdes.SerialTLClientParams()), // bringup serial-tl acts only as a client - phyParams = testchipip.serdes.ExternalSyncSerialParams() // bringup serial-tl is sync'd to external clock + phyParams = testchipip.serdes.ExternalSyncSerialPhyParams() // bringup serial-tl is sync'd to external clock ), testchipip.serdes.SerialTLParams( // 1st serial-tl is chip-to-chip client = Some(testchipip.serdes.SerialTLClientParams()), // chip-to-chip serial-tl acts as a client @@ -27,7 +27,7 @@ class SymmetricChipletRocketConfig extends Config( )), slaveWhere = OBUS )), - phyParams = testchipip.serdes.SourceSyncSerialParams() // chip-to-chip serial-tl is symmetric source-sync'd + phyParams = testchipip.serdes.SourceSyncSerialPhyParams() // chip-to-chip serial-tl is symmetric source-sync'd )) ) ++ new testchipip.soc.WithOffchipBusClient(SBUS, // obus provides path to other chip's memory @@ -45,3 +45,49 @@ class MultiSimSymmetricChipletRocketConfig extends Config( new chipyard.harness.WithMultiChip(0, new SymmetricChipletRocketConfig) ++ new chipyard.harness.WithMultiChip(1, new SymmetricChipletRocketConfig) ) + +// Core-only chiplet config, where the coherent memory is located on the LLC-chiplet +class RocketCoreChipletConfig extends Config( + new testchipip.serdes.WithSerialTL(Seq( + testchipip.serdes.SerialTLParams( + client = Some(testchipip.serdes.SerialTLClientParams()), + phyParams = testchipip.serdes.ExternalSyncSerialPhyParams() // chip-to-chip serial-tl is symmetric source-sync'd + ), + testchipip.serdes.SerialTLParams( + manager = Some(testchipip.serdes.SerialTLManagerParams( + cohParams = Seq(testchipip.serdes.ManagerCOHParams( + address = BigInt("80000000", 16), + size = BigInt("100000000", 16) + )), + slaveWhere = OBUS, + isMemoryDevice = true + )), + phyParams = testchipip.serdes.SourceSyncSerialPhyParams() + ) + )) ++ + new testchipip.soc.WithOffchipBusClient(SBUS) ++ + new testchipip.soc.WithOffchipBus ++ + new testchipip.soc.WithNoScratchpads ++ + new freechips.rocketchip.subsystem.WithIncoherentBusTopology ++ + new freechips.rocketchip.subsystem.WithNoMemPort ++ + new freechips.rocketchip.subsystem.WithNMemoryChannels(0) ++ + new freechips.rocketchip.subsystem.WithNBigCores(1) ++ + new chipyard.config.AbstractConfig) + +// LLC-only chiplet +class LLCChipletConfig extends Config( + new chipyard.harness.WithSerialTLTiedOff ++ + new testchipip.serdes.WithSerialTL(Seq(testchipip.serdes.SerialTLParams( // 1st serial-tl is chip-to-chip + client = Some(testchipip.serdes.SerialTLClientParams(supportsProbe=true)), + phyParams = testchipip.serdes.SourceSyncSerialPhyParams() // chip-to-chip serial-tl is symmetric source-sync'd + ))) ++ + new freechips.rocketchip.subsystem.WithExtMemSize((1 << 30) * 4L) ++ + new chipyard.NoCoresConfig +) + +class MultiSimLLCChipletRocketConfig extends Config( + new chipyard.harness.WithAbsoluteFreqHarnessClockInstantiator ++ + new chipyard.harness.WithMultiChipSerialTL(chip0=0, chip1=1, chip0portId=1, chip1portId=0) ++ + new chipyard.harness.WithMultiChip(0, new RocketCoreChipletConfig) ++ + new chipyard.harness.WithMultiChip(1, new LLCChipletConfig) +) diff --git a/generators/chipyard/src/main/scala/example/FlatTestHarness.scala b/generators/chipyard/src/main/scala/example/FlatTestHarness.scala index 8bbbb205cc..c97ff84cb9 100644 --- a/generators/chipyard/src/main/scala/example/FlatTestHarness.scala +++ b/generators/chipyard/src/main/scala/example/FlatTestHarness.scala @@ -47,16 +47,16 @@ class FlatTestHarness(implicit val p: Parameters) extends Module { // Figure out which clock drives the harness TLSerdes, based on the port type val serial_ram_clock = dut.serial_tl_pad match { - case io: InternalSyncSerialIO => io.clock_out - case io: ExternalSyncSerialIO => clock + case io: InternalSyncPhitIO => io.clock_out + case io: ExternalSyncPhitIO => clock } dut.serial_tl_pad match { - case io: ExternalSyncSerialIO => io.clock_in := clock - case io: InternalSyncSerialIO => + case io: ExternalSyncPhitIO => io.clock_in := clock + case io: InternalSyncPhitIO => } dut.serial_tl_pad match { - case pad: DecoupledSerialIO => { + case pad: DecoupledPhitIO => { withClockAndReset(serial_ram_clock, reset) { // SerialRAM implements the memory regions the chip expects val ram = Module(LazyModule(new SerialRAM(lazyDut.system.serdessers(0), p(SerialTLKey)(0))).module) diff --git a/generators/chipyard/src/main/scala/harness/HarnessBinders.scala b/generators/chipyard/src/main/scala/harness/HarnessBinders.scala index 909f1638e5..ead973463d 100644 --- a/generators/chipyard/src/main/scala/harness/HarnessBinders.scala +++ b/generators/chipyard/src/main/scala/harness/HarnessBinders.scala @@ -209,17 +209,17 @@ class WithTiedOffDMI extends HarnessBinder({ class WithSerialTLTiedOff(tieoffs: Option[Seq[Int]] = None) extends HarnessBinder({ case (th: HasHarnessInstantiators, port: SerialTLPort, chipId: Int) if (tieoffs.map(_.contains(port.portId)).getOrElse(true)) => { port.io match { - case io: DecoupledSerialIO => io.out.ready := false.B; io.in.valid := false.B; io.in.bits := DontCare; - case io: SourceSyncSerialIO => { + case io: DecoupledPhitIO => io.out.ready := false.B; io.in.valid := false.B; io.in.bits := DontCare; + case io: SourceSyncPhitIO => { io.clock_in := false.B.asClock io.reset_in := false.B.asAsyncReset io.in := DontCare - io.credit_in := DontCare } } port.io match { - case io: InternalSyncSerialIO => - case io: ExternalSyncSerialIO => io.clock_in := false.B.asClock + case io: InternalSyncPhitIO => + case io: ExternalSyncPhitIO => io.clock_in := false.B.asClock + case io: SourceSyncPhitIO => case _ => } } @@ -228,17 +228,18 @@ class WithSerialTLTiedOff(tieoffs: Option[Seq[Int]] = None) extends HarnessBinde class WithSimTSIOverSerialTL extends HarnessBinder({ case (th: HasHarnessInstantiators, port: SerialTLPort, chipId: Int) if (port.portId == 0) => { port.io match { - case io: InternalSyncSerialIO => - case io: ExternalSyncSerialIO => io.clock_in := th.harnessBinderClock + case io: InternalSyncPhitIO => + case io: ExternalSyncPhitIO => io.clock_in := th.harnessBinderClock + case io: SourceSyncPhitIO => io.clock_in := th.harnessBinderClock; io.reset_in := th.harnessBinderReset } port.io match { - case io: DecoupledSerialIO => { + case io: DecoupledPhitIO => { // If the port is locally synchronous (provides a clock), drive everything with that clock // Else, drive everything with the harnes clock val clock = port.io match { - case io: InternalSyncSerialIO => io.clock_out - case io: ExternalSyncSerialIO => th.harnessBinderClock + case io: InternalSyncPhitIO => io.clock_out + case io: ExternalSyncPhitIO => th.harnessBinderClock } withClock(clock) { val ram = Module(LazyModule(new SerialRAM(port.serdesser, port.params)(port.serdesser.p)).module) diff --git a/generators/chipyard/src/main/scala/harness/MultiHarnessBinders.scala b/generators/chipyard/src/main/scala/harness/MultiHarnessBinders.scala index 5da69fc740..55ff4191ba 100644 --- a/generators/chipyard/src/main/scala/harness/MultiHarnessBinders.scala +++ b/generators/chipyard/src/main/scala/harness/MultiHarnessBinders.scala @@ -59,25 +59,23 @@ class WithMultiChipSerialTL(chip0: Int, chip1: Int, chip0portId: Int = 0, chip1p (p0: SerialTLPort) => p0.portId == chip0portId, (p1: SerialTLPort) => p1.portId == chip1portId, (th: HasHarnessInstantiators, p0: SerialTLPort, p1: SerialTLPort) => { - def connectDecoupledSyncSerialIO(clkSource: InternalSyncSerialIO, clkSink: ExternalSyncSerialIO) = { + def connectDecoupledSyncPhitIO(clkSource: InternalSyncPhitIO, clkSink: ExternalSyncPhitIO) = { clkSink.clock_in := clkSource.clock_out clkSink.in <> clkSource.out clkSource.in <> clkSink.out } - def connectSourceSyncSerialIO(a: SourceSyncSerialIO, b: SourceSyncSerialIO) = { + def connectSourceSyncPhitIO(a: SourceSyncPhitIO, b: SourceSyncPhitIO) = { a.clock_in := b.clock_out b.clock_in := a.clock_out a.reset_in := b.reset_out b.reset_in := a.reset_out a.in := b.out b.in := a.out - a.credit_in := b.credit_out - b.credit_in := a.credit_out } (p0.io, p1.io) match { - case (io0: InternalSyncSerialIO, io1: ExternalSyncSerialIO) => connectDecoupledSyncSerialIO(io0, io1) - case (io0: ExternalSyncSerialIO, io1: InternalSyncSerialIO) => connectDecoupledSyncSerialIO(io1, io0) - case (io0: SourceSyncSerialIO , io1: SourceSyncSerialIO ) => connectSourceSyncSerialIO (io0, io1) + case (io0: InternalSyncPhitIO, io1: ExternalSyncPhitIO) => connectDecoupledSyncPhitIO(io0, io1) + case (io0: ExternalSyncPhitIO, io1: InternalSyncPhitIO) => connectDecoupledSyncPhitIO(io1, io0) + case (io0: SourceSyncPhitIO , io1: SourceSyncPhitIO ) => connectSourceSyncPhitIO (io0, io1) } } ) diff --git a/generators/firechip/src/main/scala/BridgeBinders.scala b/generators/firechip/src/main/scala/BridgeBinders.scala index bd662a274d..5508935899 100644 --- a/generators/firechip/src/main/scala/BridgeBinders.scala +++ b/generators/firechip/src/main/scala/BridgeBinders.scala @@ -15,7 +15,7 @@ import freechips.rocketchip.prci.{ClockBundle, ClockBundleParameters} import freechips.rocketchip.util.{ResetCatchAndSync} import sifive.blocks.devices.uart._ -import testchipip.serdes.{ExternalSyncSerialIO} +import testchipip.serdes.{ExternalSyncPhitIO} import testchipip.tsi.{SerialRAM} import icenet.{CanHavePeripheryIceNIC, SimNetwork, NicLoopback, NICKey, NICIOvonly} @@ -69,7 +69,7 @@ class WithFireSimIOCellModels extends Config((site, here, up) => { class WithTSIBridgeAndHarnessRAMOverSerialTL extends HarnessBinder({ case (th: FireSim, port: SerialTLPort, chipId: Int) => { port.io match { - case io: ExternalSyncSerialIO => { + case io: ExternalSyncPhitIO => { io.clock_in := th.harnessBinderClock val ram = Module(LazyModule(new SerialRAM(port.serdesser, port.params)(port.serdesser.p)).module) ram.io.ser.in <> io.out diff --git a/generators/firechip/src/main/scala/TargetConfigs.scala b/generators/firechip/src/main/scala/TargetConfigs.scala index 73db8730e1..d6f4edc70c 100644 --- a/generators/firechip/src/main/scala/TargetConfigs.scala +++ b/generators/firechip/src/main/scala/TargetConfigs.scala @@ -264,8 +264,8 @@ class FireSimSmallSystemConfig extends Config( new WithoutTLMonitors ++ new freechips.rocketchip.subsystem.WithExtMemSize(1 << 28) ++ new testchipip.serdes.WithSerialTL(Seq(testchipip.serdes.SerialTLParams( - client = Some(testchipip.serdes.SerialTLClientParams(idBits = 4)), - phyParams = testchipip.serdes.ExternalSyncSerialParams(width=32) + client = Some(testchipip.serdes.SerialTLClientParams(totalIdBits = 4)), + phyParams = testchipip.serdes.ExternalSyncSerialPhyParams(phitWidth=32, flitWidth=32) ))) ++ new testchipip.iceblk.WithBlockDevice ++ new chipyard.config.WithUARTInitBaudRate(BigInt(3686400L)) ++ diff --git a/generators/gemmini b/generators/gemmini index 8c8b38b9de..c16f815a38 160000 --- a/generators/gemmini +++ b/generators/gemmini @@ -1 +1 @@ -Subproject commit 8c8b38b9dea3e4d6ba9695346a883b2094bd7388 +Subproject commit c16f815a38ae18ab37d835d4d07e78ba27c5f7b8 diff --git a/generators/mempress b/generators/mempress index c3d4901ab0..415f55b583 160000 --- a/generators/mempress +++ b/generators/mempress @@ -1 +1 @@ -Subproject commit c3d4901ab0f3c28bfd4101ffd62f707a86fc377e +Subproject commit 415f55b583f3527500c5cf4e0a7b663982479098 diff --git a/generators/sha3 b/generators/sha3 index 5e49347f06..2d38585d64 160000 --- a/generators/sha3 +++ b/generators/sha3 @@ -1 +1 @@ -Subproject commit 5e49347f069c72eeb3bf23f1a09170b3cfd3eb2e +Subproject commit 2d38585d6410ca51ab19040fc23b1f6b3465270c diff --git a/generators/shuttle b/generators/shuttle index fd325d43a1..4bc2fa1e36 160000 --- a/generators/shuttle +++ b/generators/shuttle @@ -1 +1 @@ -Subproject commit fd325d43a162378dc1984e87297e6d710167db79 +Subproject commit 4bc2fa1e36209beae7323218ec006762ab096ac2 diff --git a/generators/testchipip b/generators/testchipip index 003c9c1e81..5d6ec23cd6 160000 --- a/generators/testchipip +++ b/generators/testchipip @@ -1 +1 @@ -Subproject commit 003c9c1e81c94c74f877d3883688c220dfe69d1b +Subproject commit 5d6ec23cd6d60299615700c00021fc5f69f57788 diff --git a/scripts/build-circt-from-source.sh b/scripts/build-circt-from-source.sh new file mode 100755 index 0000000000..596e24de5a --- /dev/null +++ b/scripts/build-circt-from-source.sh @@ -0,0 +1,102 @@ +#!/usr/bin/env bash + +# exit script if any command fails +set -e +set -o pipefail + +RDIR=$(git rev-parse --show-toplevel) + +# get helpful utilities +source $RDIR/scripts/utils.sh + +common_setup + +# Allow user to override MAKE +[ -n "${MAKE:+x}" ] || MAKE=$(command -v gnumake || command -v gmake || command -v make) +readonly MAKE + +usage() { + echo "usage: ${0}" + echo "" + echo "Options" + echo " --prefix -p PREFIX : Install destination." + echo " --help -h : Display this message" + exit "$1" +} + +PREFIX="" + +# getopts does not support long options, and is inflexible +while [ "$1" != "" ]; +do + case $1 in + -h | -H | --help | help ) + usage 3 ;; + -p | --prefix ) + shift + PREFIX=$(realpath $1) ;; + * ) + error "invalid option $1" + usage 1 ;; + esac + shift +done + +if [ -z "$PREFIX" ] ; then + error "ERROR: Prefix not given." + exit 1 +fi + + + +echo "Cloning CIRCT" +( + cd $RDIR/tools + git submodule update --init --progress circt +) +echo "Cloning CIRCT/LLVM" +( + cd $RDIR/tools/circt + git submodule init + # The settings in circt/.gitmodules don't "stick", so force-set them here + git config submodule.llvm.shallow true + git config submodule.llvm.branch main + git submodule update --recommend-shallow --progress llvm +) + +echo "Building CIRCT's LLVM/MLIR" +( + cd $RDIR/tools/circt + rm -rf llvm/build + mkdir llvm/build + cd llvm/build + cmake -G Ninja ../llvm \ + -DLLVM_ENABLE_PROJECTS="mlir" \ + -DLLVM_TARGETS_TO_BUILD="host" \ + -DLLVM_ENABLE_ASSERTIONS=ON \ + -DCMAKE_BUILD_TYPE=RELEASE \ + -DCMAKE_EXPORT_COMPILE_COMMANDS=ON + ninja +) + +echo "Building CIRCT" +( + cd $RDIR/tools/circt + rm -rf build + mkdir build + cd build + cmake -G Ninja .. \ + -DMLIR_DIR=$RDIR/tools/circt/llvm/build/lib/cmake/mlir \ + -DLLVM_DIR=$RDIR/tools/circt/llvm/build/lib/cmake/llvm \ + -DLLVM_ENABLE_ASSERTIONS=ON \ + -DCMAKE_BUILD_TYPE=RELEASE \ + -DCMAKE_INSTALL_PREFIX=$PREFIX + ninja +) + +echo "Installing CIRCT to $PREFIX" +( + cd $RDIR/tools/circt/build + ninja install +) + diff --git a/scripts/build-setup.sh b/scripts/build-setup.sh index ffcee96bc6..56f56506cf 100755 --- a/scripts/build-setup.sh +++ b/scripts/build-setup.sh @@ -39,6 +39,7 @@ usage() { echo " --verbose -v : Verbose printout" echo " --use-unpinned-deps -ud : Use unpinned conda environment" echo " --use-lean-conda : Install a leaner version of the repository (Smaller conda env, no FireSim, no FireMarshal)" + echo " --build-circt : Builds CIRCT from source, instead of downloading the precompiled binary" echo " --skip -s N : Skip step N in the list above. Use multiple times to skip multiple steps ('-s N -s M ...')." echo " --skip-conda : Skip Conda initialization (step 1)" @@ -60,6 +61,7 @@ VERBOSE_FLAG="" USE_UNPINNED_DEPS=false USE_LEAN_CONDA=false SKIP_LIST=() +BUILD_CIRCT=false # getopts does not support long options, and is inflexible while [ "$1" != "" ]; @@ -75,6 +77,8 @@ do --use-lean-conda) USE_LEAN_CONDA=true SKIP_LIST+=(4 6 7 8 9) ;; + --build-circt) + BUILD_CIRCT=true ;; -ud | --use-unpinned-deps ) USE_UNPINNED_DEPS=true ;; --skip | -s) @@ -306,13 +310,20 @@ if run_step "10"; then PREFIX=$RISCV fi - git submodule update --init $CYDIR/tools/install-circt && - $CYDIR/tools/install-circt/bin/download-release-or-nightly-circt.sh \ - -f circt-full-shared-linux-x64.tar.gz \ - -i $PREFIX \ - -v version-file \ - -x $CYDIR/conda-reqs/circt.json \ - -g null + if [ "$BUILD_CIRCT" = true ] ; then + echo "Building CIRCT from source, and installing to $PREFIX" + $CYDIR/scripts/build-circt-from-source.sh --prefix $PREFIX + else + echo "Downloading CIRCT from nightly build" + + git submodule update --init $CYDIR/tools/install-circt && + $CYDIR/tools/install-circt/bin/download-release-or-nightly-circt.sh \ + -f circt-full-static-linux-x64.tar.gz \ + -i $PREFIX \ + -v version-file \ + -x $CYDIR/conda-reqs/circt.json \ + -g null + fi exit_if_last_command_failed fi diff --git a/scripts/init-submodules-no-riscv-tools-nolog.sh b/scripts/init-submodules-no-riscv-tools-nolog.sh index 1779b8d8a3..e46af0ca0d 100755 --- a/scripts/init-submodules-no-riscv-tools-nolog.sh +++ b/scripts/init-submodules-no-riscv-tools-nolog.sh @@ -82,6 +82,7 @@ cd "$RDIR" software/spec2017 \ tools/dsptools \ tools/rocket-dsp-utils \ + tools/circt \ vlsi/hammer-mentor-plugins do "$1" "${name%/}" diff --git a/scripts/uniquify-module-names.py b/scripts/uniquify-module-names.py index 0ddea49f6b..d2131c33e2 100755 --- a/scripts/uniquify-module-names.py +++ b/scripts/uniquify-module-names.py @@ -110,7 +110,7 @@ def generate_copy(c, sfx): new_file = os.path.join(args.gcpath, new_file) shutil.copy(cur_file, new_file) - bash(f"{SED} -i 's/module\( \+\){cur_name}/module\\1{new_name}/' {new_file}") + bash(rf"{SED} -i 's/module\( \+\){cur_name}/module\1{new_name}/' {new_file}") return new_file def bfs_uniquify_modules(tree, common_fnames, verilog_module_filename): @@ -137,7 +137,7 @@ def bfs_uniquify_modules(tree, common_fnames, verilog_module_filename): new_file = generate_copy(cur_file, MODEL_SFX) if parent is not None and ((parent, mod) not in updated_submodule): parent_file = os.path.join(args.gcpath, verilog_module_filename[parent]) - bash(f"{SED} -i 's/\( \*\){mod}\( \+\)/\\1{mod}_{MODEL_SFX}\\2/' {parent_file}") + bash(rf"{SED} -i 's/\( \*\){mod}\( \+\)/\1{mod}_{MODEL_SFX}\2/' {parent_file}") updated_submodule.add((parent, mod)) # add the uniquified module to the verilog_modul_filename dict diff --git a/sims/firesim b/sims/firesim index 13f93a6ede..c6d2581809 160000 --- a/sims/firesim +++ b/sims/firesim @@ -1 +1 @@ -Subproject commit 13f93a6ede27af073ac3a879cf6b48f0df1d3b22 +Subproject commit c6d258180985eeaef701862bede50caf87ea862c diff --git a/software/firemarshal b/software/firemarshal index b014183ac6..95cf53a6f5 160000 --- a/software/firemarshal +++ b/software/firemarshal @@ -1 +1 @@ -Subproject commit b014183ac6afed7fe1aca89fa010be8d13ad4079 +Subproject commit 95cf53a6f5bf800fcbb2fab2061f9b27df74d5dd diff --git a/tests/symmetric.c b/tests/symmetric.c index a6d37627e6..d9f2917f55 100644 --- a/tests/symmetric.c +++ b/tests/symmetric.c @@ -1,5 +1,6 @@ #include #include +#include #include #include "marchid.h" @@ -20,10 +21,13 @@ int main(void) { memcpy(test, dest + OBUS_OFFSET, sizeof(src)); size_t read_end = rdcycle(); - if (memcmp(src, test, sizeof(src))) { - printf("Remote write/read failed\n"); - exit(1); + for (int i = 0; i < sizeof(src); i++) { + if (src[i] != test[i]) { + printf("Remote write/read failed at %p %p %p %x %x\n", src+i, test+i, dest + OBUS_OFFSET + i, src[i], test[i]); + exit(1); + } } + printf("Read %ld bytes in %ld cycles\n", sizeof(src), read_end - read_start); return 0; diff --git a/tools/circt b/tools/circt new file mode 160000 index 0000000000..9e0c1696f3 --- /dev/null +++ b/tools/circt @@ -0,0 +1 @@ +Subproject commit 9e0c1696f3caef4059c65774ad6b8efee91d9d9e