Skip to content
This repository has been archived by the owner on Dec 22, 2021. It is now read-only.

Commit

Permalink
Add ops from #232 to NewOpcodes.md
Browse files Browse the repository at this point in the history
  • Loading branch information
tlively committed Feb 6, 2021
1 parent 45112d3 commit 7633dd0
Showing 1 changed file with 16 additions and 5 deletions.
21 changes: 16 additions & 5 deletions proposals/simd/NewOpcodes.md
Original file line number Diff line number Diff line change
Expand Up @@ -116,11 +116,22 @@
| ---- mul ---- | 0x75 | i16x8.mul | 0x95 | i32x4.mul | 0xb5 | i64x2.mul | 0xd5 |
| i8x16.min_s | 0x76 | i16x8.min_s | 0x96 | i32x4.min_s | 0xb6 | ------------- | 0xd6 |
| i8x16.min_u | 0x77 | i16x8.min_u | 0x97 | i32x4.min_u | 0xb7 | ------------- | 0xd7 |
| i8x16.max_s | 0x78 | i16x8.max_s | 0x98 | i32x4.max_s | 0xb8 | ------------- | 0xd8 |
| i8x16.max_u | 0x79 | i16x8.max_u | 0x99 | i32x4.max_u | 0xb9 | ------------- | 0xd9 |
| ---------------- | 0x7a | ---------------- | 0x9a | i32x4.dot_i16x8_s | 0xba | ------------- | 0xda |
| i8x16.avgr_u | 0x7b | i16x8.avgr_u | 0x9b | ---- avgr_u ---- | 0xbb | ------------- | 0xdb |
| ---------------- | 0x7c | i16x8.q15mulr_sat_s | 0x9c | ---------------- | 0xbc | ------------- | 0xdc |
| i8x16.max_s | 0x78 | i16x8.max_s | 0x98 | i32x4.max_s | 0xb8 | ------------- | - |
| i8x16.max_u | 0x79 | i16x8.max_u | 0x99 | i32x4.max_u | 0xb9 | ------------- | - |
| ---------------- | 0x7a | ---------------- | 0x9a | i32x4.dot_i16x8_s | 0xba | ------------- | - |
| i8x16.avgr_u | 0x7b | i16x8.avgr_u | 0x9b | ---- avgr_u ---- | 0xbb | ------------- | - |
| ---------------- | 0x7c | i16x8.q15mulr_sat_s | 0x9c | ---------------- | 0xbc | ------------- | - |

| Rounding Op | opcode |
| ------------- | ------ |
| f32x4.ceil | 0xd8 |
| f32x4.floor | 0xd9 |
| f32x4.trunc | 0xda |
| f32x4.nearest | 0xdb |
| f64x2.ceil | 0xdc |
| f64x2.floor | 0xdd |
| f64x2.trunc | 0xde |
| f64x2.nearest | 0xdf |

| f32x4 Op | opcode | f64x2 Op | opcode |
| --------------- | ------ | --------------- | ------ |
Expand Down

0 comments on commit 7633dd0

Please sign in to comment.