Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

make synth stuck at synth -top $::env(DESIGN_NAME) -run fine: {*}$synth_args #4634

Open
janboeye opened this issue Oct 7, 2024 · 3 comments
Labels
pending-verification This issue is pending verification and/or reproduction

Comments

@janboeye
Copy link

janboeye commented Oct 7, 2024

Version

Yosys 0.45 (git sha1 3e0dc2f, clang++ 14.0.0-1ubuntu1 -fPIC -O3)

On which OS did this happen?

Linux

Reproduction Steps

pull the my branch of https://github.com/janboeye/OpenROAD-flow-scripts.

execute make synth

Expected Behavior

finish the synthesis without any error

Actual Behavior

when I synthesis Xiangshan which is a big riscv cpu, it stuck at the second round yosys synth command
synth -top $::env(DESIGN_NAME) -run fine: {*}$synth_args
at stage
5.3.1. Executing OPT_EXPR pass (perform const folding).

@janboeye janboeye added the pending-verification This issue is pending verification and/or reproduction label Oct 7, 2024
@janboeye
Copy link
Author

janboeye commented Oct 10, 2024

I encountered another problem.
[114278.133373] ERROR: Assert `port.en == State::S1' failed in kernel/mem.cc:469.
1_1_yosys.log

Does this mean Verilog code contain any design errors? If so, how can I identify where the errors are?

Thanks a lot!

@povik
Copy link
Member

povik commented Oct 10, 2024

@janboeye Hello, can you post reproduction steps for this second issue?

@povik
Copy link
Member

povik commented Oct 10, 2024

To answer your question, it could be there’s a design error, but even if there is, there’s a Yosys bug as you are seeing an internal Yosys error.

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
pending-verification This issue is pending verification and/or reproduction
Projects
None yet
Development

No branches or pull requests

2 participants