Skip to content

Issues: YosysHQ/yosys

New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Author
Filter by author
Loading
Label
Filter by label
Loading
Use alt + click/return to exclude labels
or + click/return for logical OR
Projects
Filter by project
Loading
Milestones
Filter by milestone
Loading
Assignee
Filter by who’s assigned
Sort

Issues list

Any link_design equivalent or alternative? pending-verification This issue is pending verification and/or reproduction
#4660 opened Oct 12, 2024 by redpanda3
Verilog globals appended to modules instead of prepended pending-verification This issue is pending verification and/or reproduction
#4653 opened Oct 10, 2024 by jmi2k
FSM pass equivalence bug pending-verification This issue is pending verification and/or reproduction
#4651 opened Oct 10, 2024 by joonho3020
sta command hangs on some designs pending-verification This issue is pending verification and/or reproduction
#4648 opened Oct 9, 2024 by lukbau
write_btor: Part selects of undriven signals are lowered as separate inputs pending-verification This issue is pending verification and/or reproduction
#4640 opened Oct 8, 2024 by georgerennie
Co-simulation fails for $fa cell pending-verification This issue is pending verification and/or reproduction
#4638 opened Oct 7, 2024 by RCoeurjoly
tee -q -o <bad-path> fails silently pending-verification This issue is pending verification and/or reproduction
#4636 opened Oct 7, 2024 by povik
make synth stuck at synth -top $::env(DESIGN_NAME) -run fine: {*}$synth_args pending-verification This issue is pending verification and/or reproduction
#4634 opened Oct 7, 2024 by janboeye
log_deprecated feature-request
#4623 opened Oct 1, 2024 by widlarizer
Synthesis fails on MacOS but succeeds on Linux (possibly ABC error) pending-verification This issue is pending verification and/or reproduction
#4618 opened Sep 29, 2024 by agrif
VCD file parsing error in sim pass with GHDL-generated VCDs due to whitespace handling pending-verification This issue is pending verification and/or reproduction
#4617 opened Sep 27, 2024 by RCoeurjoly
Yosys Synthesis Fails with std::out_of_range Error in OPT_DEMORGAN Pass pending-verification This issue is pending verification and/or reproduction
#4610 opened Sep 24, 2024 by LoSyTe
Nanoxplore synthesis does not works when using abc9 flow pending-verification This issue is pending verification and/or reproduction
#4606 opened Sep 19, 2024 by samhanic
Port names starting with '%' cause stack buffer overflow during error reporting pending-verification This issue is pending verification and/or reproduction
#4599 opened Sep 13, 2024 by mattyoung101
verific: wrong source attribute for $add cell with binary literal operand pending-verification This issue is pending verification and/or reproduction
#4597 opened Sep 12, 2024 by RCoeurjoly
Synthesis with synth_xilinx crashes in TECHMAP pass pending-verification This issue is pending verification and/or reproduction
#4590 opened Sep 9, 2024 by marzoul
Github tag style feature-request
#4586 opened Sep 7, 2024 by hpretl
ProTip! Mix and match filters to narrow down what you’re looking for.