Skip to content

Commit

Permalink
Clarify uart_write_blocking() API vs UART still busy sending (raspber…
Browse files Browse the repository at this point in the history
  • Loading branch information
andygpz11 committed May 21, 2024
1 parent 23dec9d commit 67919e4
Showing 1 changed file with 2 additions and 1 deletion.
3 changes: 2 additions & 1 deletion src/rp2_common/hardware_uart/include/hardware/uart.h
Original file line number Diff line number Diff line change
Expand Up @@ -310,7 +310,8 @@ static inline bool uart_is_readable(uart_inst_t *uart) {
/*! \brief Write to the UART for transmission.
* \ingroup hardware_uart
*
* This function will block until all the data has been sent to the UART
* This function will block until all the data has been written to the UART.
* To check for UART Tx FIFO empty, please see: uart_tx_wait_blocking()
*
* \param uart UART instance. \ref uart0 or \ref uart1
* \param src The bytes to send
Expand Down

0 comments on commit 67919e4

Please sign in to comment.