Skip to content

Commit

Permalink
Initial commit
Browse files Browse the repository at this point in the history
  • Loading branch information
jddes committed Jun 1, 2017
0 parents commit 0b55dce
Show file tree
Hide file tree
Showing 1,552 changed files with 1,529,853 additions and 0 deletions.
114 changes: 114 additions & 0 deletions Firmware Vivado Project/n_times_clk_fir_wrapper_testbench_behav.wcfg
Original file line number Diff line number Diff line change
@@ -0,0 +1,114 @@
<?xml version="1.0" encoding="UTF-8"?>
<wave_config>
<wave_state>
</wave_state>
<db_ref_list>
<db_ref path="n_times_clk_fir_wrapper_testbench_behav.wdb" id="1">
<top_modules>
<top_module name="n_times_clk_fir_wrapper_testbench" />
</top_modules>
</db_ref>
</db_ref_list>
<zoom_setting>
<ZoomStartTime time="497877627fs"></ZoomStartTime>
<ZoomEndTime time="671873803fs"></ZoomEndTime>
<Cursor1Time time="532500000fs"></Cursor1Time>
</zoom_setting>
<WVObjectSize size="22" />
<wvobject db_ref_id="1" type="logic" fp_name="/n_times_clk_fir_wrapper_testbench/clk_times_1">
<obj_property name="ElementShortName">clk_times_1</obj_property>
<obj_property name="ObjectShortName">clk_times_1</obj_property>
</wvobject>
<wvobject db_ref_id="1" type="logic" fp_name="/n_times_clk_fir_wrapper_testbench/clk_times_n">
<obj_property name="ElementShortName">clk_times_n</obj_property>
<obj_property name="ObjectShortName">clk_times_n</obj_property>
</wvobject>
<wvobject db_ref_id="1" type="array" fp_name="/n_times_clk_fir_wrapper_testbench/data_in">
<obj_property name="ElementShortName">data_in[15:0]</obj_property>
<obj_property name="ObjectShortName">data_in[15:0]</obj_property>
</wvobject>
<wvobject db_ref_id="1" type="array" fp_name="/n_times_clk_fir_wrapper_testbench/data_out">
<obj_property name="ElementShortName">data_out[15:0]</obj_property>
<obj_property name="ObjectShortName">data_out[15:0]</obj_property>
</wvobject>
<wvobject db_ref_id="1" type="array" fp_name="/n_times_clk_fir_wrapper_testbench/clk_counter">
<obj_property name="ElementShortName">clk_counter[3:0]</obj_property>
<obj_property name="ObjectShortName">clk_counter[3:0]</obj_property>
</wvobject>
<wvobject db_ref_id="1" type="logic" fp_name="/n_times_clk_fir_wrapper_testbench/n_times_clk_fir_wrapper_inst/clk_times_1">
<obj_property name="ElementShortName">clk_times_1</obj_property>
<obj_property name="ObjectShortName">clk_times_1</obj_property>
</wvobject>
<wvobject db_ref_id="1" type="logic" fp_name="/n_times_clk_fir_wrapper_testbench/n_times_clk_fir_wrapper_inst/clk_times_N">
<obj_property name="ElementShortName">clk_times_N</obj_property>
<obj_property name="ObjectShortName">clk_times_N</obj_property>
</wvobject>
<wvobject db_ref_id="1" type="array" fp_name="/n_times_clk_fir_wrapper_testbench/n_times_clk_fir_wrapper_inst/data_in">
<obj_property name="ElementShortName">data_in[15:0]</obj_property>
<obj_property name="ObjectShortName">data_in[15:0]</obj_property>
</wvobject>
<wvobject db_ref_id="1" type="array" fp_name="/n_times_clk_fir_wrapper_testbench/n_times_clk_fir_wrapper_inst/data_out">
<obj_property name="ElementShortName">data_out[15:0]</obj_property>
<obj_property name="ObjectShortName">data_out[15:0]</obj_property>
<obj_property name="WaveformStyle">STYLE_DIGITAL</obj_property>
<obj_property name="Radix">SIGNEDDECRADIX</obj_property>
<obj_property name="AnalogYRangeType">ANALOG_YRANGETYPE_AUTO</obj_property>
<obj_property name="AnalogYRangeMin">0.000000</obj_property>
<obj_property name="AnalogYRRangeMax">0.000000</obj_property>
<obj_property name="AnalogInterpolation">ANALOG_INTERPOLATION_HOLD</obj_property>
<obj_property name="AnalogOffscale">ANALOG_OFFSCALE_HIDE</obj_property>
<obj_property name="AnalogHorizLine">0.000000</obj_property>
</wvobject>
<wvobject db_ref_id="1" type="logic" fp_name="/n_times_clk_fir_wrapper_testbench/n_times_clk_fir_wrapper_inst/m_axis_data_tvalid">
<obj_property name="ElementShortName">m_axis_data_tvalid</obj_property>
<obj_property name="ObjectShortName">m_axis_data_tvalid</obj_property>
</wvobject>
<wvobject db_ref_id="1" type="array" fp_name="/n_times_clk_fir_wrapper_testbench/n_times_clk_fir_wrapper_inst/m_axis_data_tdata">
<obj_property name="ElementShortName">m_axis_data_tdata[15:0]</obj_property>
<obj_property name="ObjectShortName">m_axis_data_tdata[15:0]</obj_property>
</wvobject>
<wvobject db_ref_id="1" type="logic" fp_name="/n_times_clk_fir_wrapper_testbench/n_times_clk_fir_wrapper_inst/flag_times_1">
<obj_property name="ElementShortName">flag_times_1</obj_property>
<obj_property name="ObjectShortName">flag_times_1</obj_property>
</wvobject>
<wvobject db_ref_id="1" type="logic" fp_name="/n_times_clk_fir_wrapper_testbench/n_times_clk_fir_wrapper_inst/flag_times_N_d1">
<obj_property name="ElementShortName">flag_times_N_d1</obj_property>
<obj_property name="ObjectShortName">flag_times_N_d1</obj_property>
</wvobject>
<wvobject db_ref_id="1" type="logic" fp_name="/n_times_clk_fir_wrapper_testbench/n_times_clk_fir_wrapper_inst/data_times_N_clk_enable">
<obj_property name="ElementShortName">data_times_N_clk_enable</obj_property>
<obj_property name="ObjectShortName">data_times_N_clk_enable</obj_property>
</wvobject>
<wvobject db_ref_id="1" type="array" fp_name="/n_times_clk_fir_wrapper_testbench/n_times_clk_fir_wrapper_inst/data_times_N">
<obj_property name="ElementShortName">data_times_N[15:0]</obj_property>
<obj_property name="ObjectShortName">data_times_N[15:0]</obj_property>
</wvobject>
<wvobject db_ref_id="1" type="logic" fp_name="/n_times_clk_fir_wrapper_testbench/n_times_clk_fir_wrapper_inst/data_times_N_clk_enable_reg">
<obj_property name="ElementShortName">data_times_N_clk_enable_reg</obj_property>
<obj_property name="ObjectShortName">data_times_N_clk_enable_reg</obj_property>
</wvobject>
<wvobject db_ref_id="1" type="array" fp_name="/n_times_clk_fir_wrapper_testbench/n_times_clk_fir_wrapper_inst/data_times_N_reg">
<obj_property name="ElementShortName">data_times_N_reg[15:0]</obj_property>
<obj_property name="ObjectShortName">data_times_N_reg[15:0]</obj_property>
</wvobject>
<wvobject db_ref_id="1" type="array" fp_name="/n_times_clk_fir_wrapper_testbench/n_times_clk_fir_wrapper_inst/data_out_times_N">
<obj_property name="ElementShortName">data_out_times_N[15:0]</obj_property>
<obj_property name="ObjectShortName">data_out_times_N[15:0]</obj_property>
</wvobject>
<wvobject db_ref_id="1" type="array" fp_name="/n_times_clk_fir_wrapper_testbench/n_times_clk_fir_wrapper_inst/data_out_times_N_reg">
<obj_property name="ElementShortName">data_out_times_N_reg[15:0]</obj_property>
<obj_property name="ObjectShortName">data_out_times_N_reg[15:0]</obj_property>
</wvobject>
<wvobject db_ref_id="1" type="array" fp_name="/n_times_clk_fir_wrapper_testbench/n_times_clk_fir_wrapper_inst/data_out_times_1">
<obj_property name="ElementShortName">data_out_times_1[15:0]</obj_property>
<obj_property name="ObjectShortName">data_out_times_1[15:0]</obj_property>
</wvobject>
<wvobject db_ref_id="1" type="other" fp_name="/n_times_clk_fir_wrapper_testbench/n_times_clk_fir_wrapper_inst/N_BITS_IN">
<obj_property name="ElementShortName">N_BITS_IN</obj_property>
<obj_property name="ObjectShortName">N_BITS_IN</obj_property>
</wvobject>
<wvobject db_ref_id="1" type="other" fp_name="/n_times_clk_fir_wrapper_testbench/n_times_clk_fir_wrapper_inst/N_BITS_OUT">
<obj_property name="ElementShortName">N_BITS_OUT</obj_property>
<obj_property name="ObjectShortName">N_BITS_OUT</obj_property>
</wvobject>
</wave_config>
127 changes: 127 additions & 0 deletions Firmware Vivado Project/ram_data_logger_testbench_behav.wcfg
Original file line number Diff line number Diff line change
@@ -0,0 +1,127 @@
<?xml version="1.0" encoding="UTF-8"?>
<wave_config>
<wave_state>
</wave_state>
<db_ref_list>
<db_ref path="ram_data_logger_testbench_behav.wdb" id="1">
<top_modules>
<top_module name="ram_data_logger_testbench" />
</top_modules>
</db_ref>
</db_ref_list>
<zoom_setting>
<ZoomStartTime time="0fs"></ZoomStartTime>
<ZoomEndTime time="301000000001fs"></ZoomEndTime>
<Cursor1Time time="108547500000fs"></Cursor1Time>
</zoom_setting>
<WVObjectSize size="27" />
<wvobject db_ref_id="1" type="logic" fp_name="/ram_data_logger_testbench/clk">
<obj_property name="ElementShortName">clk</obj_property>
<obj_property name="ObjectShortName">clk</obj_property>
</wvobject>
<wvobject db_ref_id="1" type="array" fp_name="/ram_data_logger_testbench/data_in">
<obj_property name="ElementShortName">data_in[15:0]</obj_property>
<obj_property name="ObjectShortName">data_in[15:0]</obj_property>
</wvobject>
<wvobject db_ref_id="1" type="logic" fp_name="/ram_data_logger_testbench/data_in_clk_enable">
<obj_property name="ElementShortName">data_in_clk_enable</obj_property>
<obj_property name="ObjectShortName">data_in_clk_enable</obj_property>
</wvobject>
<wvobject db_ref_id="1" type="logic" fp_name="/ram_data_logger_testbench/start_write">
<obj_property name="ElementShortName">start_write</obj_property>
<obj_property name="ObjectShortName">start_write</obj_property>
</wvobject>
<wvobject db_ref_id="1" type="array" fp_name="/ram_data_logger_testbench/sys_addr">
<obj_property name="ElementShortName">sys_addr[31:0]</obj_property>
<obj_property name="ObjectShortName">sys_addr[31:0]</obj_property>
</wvobject>
<wvobject db_ref_id="1" type="array" fp_name="/ram_data_logger_testbench/sys_wdata">
<obj_property name="ElementShortName">sys_wdata[31:0]</obj_property>
<obj_property name="ObjectShortName">sys_wdata[31:0]</obj_property>
</wvobject>
<wvobject db_ref_id="1" type="array" fp_name="/ram_data_logger_testbench/sys_sel">
<obj_property name="ElementShortName">sys_sel[3:0]</obj_property>
<obj_property name="ObjectShortName">sys_sel[3:0]</obj_property>
</wvobject>
<wvobject db_ref_id="1" type="logic" fp_name="/ram_data_logger_testbench/sys_wen">
<obj_property name="ElementShortName">sys_wen</obj_property>
<obj_property name="ObjectShortName">sys_wen</obj_property>
</wvobject>
<wvobject db_ref_id="1" type="logic" fp_name="/ram_data_logger_testbench/sys_ren">
<obj_property name="ElementShortName">sys_ren</obj_property>
<obj_property name="ObjectShortName">sys_ren</obj_property>
</wvobject>
<wvobject db_ref_id="1" type="array" fp_name="/ram_data_logger_testbench/sys_rdata">
<obj_property name="ElementShortName">sys_rdata[31:0]</obj_property>
<obj_property name="ObjectShortName">sys_rdata[31:0]</obj_property>
</wvobject>
<wvobject db_ref_id="1" type="logic" fp_name="/ram_data_logger_testbench/sys_err">
<obj_property name="ElementShortName">sys_err</obj_property>
<obj_property name="ObjectShortName">sys_err</obj_property>
</wvobject>
<wvobject db_ref_id="1" type="logic" fp_name="/ram_data_logger_testbench/sys_ack">
<obj_property name="ElementShortName">sys_ack</obj_property>
<obj_property name="ObjectShortName">sys_ack</obj_property>
</wvobject>
<wvobject db_ref_id="1" type="array" fp_name="/ram_data_logger_testbench/wait_counter">
<obj_property name="ElementShortName">wait_counter[15:0]</obj_property>
<obj_property name="ObjectShortName">wait_counter[15:0]</obj_property>
</wvobject>
<wvobject db_ref_id="1" type="other" fp_name="/ram_data_logger_testbench/clk_period">
<obj_property name="ElementShortName">clk_period</obj_property>
<obj_property name="ObjectShortName">clk_period</obj_property>
</wvobject>
<wvobject db_ref_id="1" type="array" fp_name="/ram_data_logger_testbench/ram_data_logger_inst/sys_rdata">
<obj_property name="ElementShortName">sys_rdata[31:0]</obj_property>
<obj_property name="ObjectShortName">sys_rdata[31:0]</obj_property>
</wvobject>
<wvobject db_ref_id="1" type="logic" fp_name="/ram_data_logger_testbench/ram_data_logger_inst/sys_err">
<obj_property name="ElementShortName">sys_err</obj_property>
<obj_property name="ObjectShortName">sys_err</obj_property>
</wvobject>
<wvobject db_ref_id="1" type="logic" fp_name="/ram_data_logger_testbench/ram_data_logger_inst/sys_ack">
<obj_property name="ElementShortName">sys_ack</obj_property>
<obj_property name="ObjectShortName">sys_ack</obj_property>
</wvobject>
<wvobject db_ref_id="1" type="other" fp_name="/ram_data_logger_testbench/ram_data_logger_inst/FSM_state">
<obj_property name="ElementShortName">FSM_state</obj_property>
<obj_property name="ObjectShortName">FSM_state</obj_property>
</wvobject>
<wvobject db_ref_id="1" type="logic" fp_name="/ram_data_logger_testbench/ram_data_logger_inst/bWriting">
<obj_property name="ElementShortName">bWriting</obj_property>
<obj_property name="ObjectShortName">bWriting</obj_property>
</wvobject>
<wvobject db_ref_id="1" type="logic" fp_name="/ram_data_logger_testbench/ram_data_logger_inst/write_enable">
<obj_property name="ElementShortName">write_enable</obj_property>
<obj_property name="ObjectShortName">write_enable</obj_property>
</wvobject>
<wvobject db_ref_id="1" type="array" fp_name="/ram_data_logger_testbench/ram_data_logger_inst/write_address">
<obj_property name="ElementShortName">write_address[10:0]</obj_property>
<obj_property name="ObjectShortName">write_address[10:0]</obj_property>
<obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
</wvobject>
<wvobject db_ref_id="1" type="array" fp_name="/ram_data_logger_testbench/ram_data_logger_inst/write_data">
<obj_property name="ElementShortName">write_data[15:0]</obj_property>
<obj_property name="ObjectShortName">write_data[15:0]</obj_property>
</wvobject>
<wvobject db_ref_id="1" type="logic" fp_name="/ram_data_logger_testbench/ram_data_logger_inst/read_enable">
<obj_property name="ElementShortName">read_enable</obj_property>
<obj_property name="ObjectShortName">read_enable</obj_property>
</wvobject>
<wvobject db_ref_id="1" type="array" fp_name="/ram_data_logger_testbench/ram_data_logger_inst/read_address">
<obj_property name="ElementShortName">read_address[10:0]</obj_property>
<obj_property name="ObjectShortName">read_address[10:0]</obj_property>
</wvobject>
<wvobject db_ref_id="1" type="array" fp_name="/ram_data_logger_testbench/ram_data_logger_inst/read_data">
<obj_property name="ElementShortName">read_data[15:0]</obj_property>
<obj_property name="ObjectShortName">read_data[15:0]</obj_property>
</wvobject>
<wvobject db_ref_id="1" type="logic" fp_name="/ram_data_logger_testbench/ram_data_logger_inst/sys_ren_d1">
<obj_property name="ElementShortName">sys_ren_d1</obj_property>
<obj_property name="ObjectShortName">sys_ren_d1</obj_property>
</wvobject>
<wvobject db_ref_id="1" type="logic" fp_name="/ram_data_logger_testbench/ram_data_logger_inst/data_valid">
<obj_property name="ElementShortName">data_valid</obj_property>
<obj_property name="ObjectShortName">data_valid</obj_property>
</wvobject>
</wave_config>
Original file line number Diff line number Diff line change
@@ -0,0 +1,5 @@
version:1
70726f6a656374:706c616e5f61686561645f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:636c6f736570726f6a656374:30:00:00
70726f6a656374:706c616e5f61686561645f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:66696c6565786974:34:00:00
70726f6a656374:706c616e5f61686561645f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e73796e746865736973:30:00:00
eof:3567786675
4 changes: 4 additions & 0 deletions Firmware Vivado Project/redpitaya.cache/wt/project.wpc
Original file line number Diff line number Diff line change
@@ -0,0 +1,4 @@
version:1
57656254616c6b5472616e736d697373696f6e417474656d70746564:36
6d6f64655f636f756e7465727c4755494d6f6465:21
eof:
28 changes: 28 additions & 0 deletions Firmware Vivado Project/redpitaya.cache/wt/synthesis.wdf
Original file line number Diff line number Diff line change
@@ -0,0 +1,28 @@
version:1
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d70617274:7863377a303130636c673430302d31:00:00
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e616d65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d746f70:7265645f7069746179615f746f70:00:00
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d696e636c7564655f64697273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67656e65726963:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d766572696c6f675f646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e737472736574:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7365755f70726f74656374:64656661756c743a3a6e6f6e65:00:00
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d666c617474656e5f686965726172636879:64656661756c743a3a72656275696c74:00:00
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67617465645f636c6f636b5f636f6e76657273696f6e:64656661756c743a3a6f6666:00:00
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d646972656374697665:64656661756c743a3a64656661756c74:00:00
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6c696e6b5f64637073:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f6c6f61645f636f6e73747261696e7473:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d62756667:64656661756c743a3a3132:00:00
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66616e6f75745f6c696d6974:64656661756c743a3a3130303030:00:00
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73687265675f6d696e5f73697a65:64656661756c743a3a33:00:00
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d6f6465:64656661756c743a3a64656661756c74:00:00
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66736d5f65787472616374696f6e:64656661756c743a3a6175746f:00:00
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6b6565705f6571756976616c656e745f726567697374657273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7265736f757263655f73686172696e67:64656661756c743a3a6175746f:00:00
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636173636164655f647370:64656661756c743a3a6175746f:00:00
73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e74726f6c5f7365745f6f70745f7468726573686f6c64:64656661756c743a3a6175746f:00:00
73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a30333a343273:00:00
73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:313032362e3733344d42:00:00
73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:3737332e3333364d42:00:00
eof:436569206
Original file line number Diff line number Diff line change
@@ -0,0 +1,3 @@
version:1
73796e746865736973:73796e7468657369735c7573616765:686c735f6970:30:00:00
eof:2511430288
Loading

0 comments on commit 0b55dce

Please sign in to comment.