Skip to content

Releases: lowRISC/sonata-system

Sonata system v0.4.1

31 Aug 16:38
Compare
Choose a tag to compare

This release contains on top of v0.3:

  • Execution from HyperRAM including instruction cache support and bootloader support
  • Bumped version of CHERIoT Ibex
  • Support for XADC, which is the FPGA's analogue to digital converter
  • Improvements to CI and automated testing

It fixes a bug from v0.4 that caused the icache to be effectively disabled following execution of a fence.i. It is recommended you use this release over v0.4.

This is the release that we will use during the CHES hackathon 2024. It is recommended you use the v0.4 branch of sonata-software repository with this release. That branch will be maintained to remain compatible with this release.

Here's the flow for using these files:

  1. Make sure the bitstream select switch (immediately below the main USB-C port) is set to position 1.
  2. Before plugging in your Sonata board, hold down the SW9 labelled "RP2040 Boot", and while holding this button plug your board into your laptop using the Main USB.
  3. A drive called RPI-RP2 should pop up on your computer and copy rpi_rp2_v0.4.uf2 into it.
  4. This drive should automatically dismount once the file is transferred and remount as SONATA. Once the remount has happened, you can drag in the wrapped bitstream sonata_bitstream_v0.4.bit.slot1.uf2.
  5. Once programming is successful, you should see the CHERI LED light up and the LEGACY LED turn off. If this is not the case, the bitstream loading may have failed and you should retry by unplugging and replugging the main USB on the Sonata board and re-drag the bitstream into the SONATA drive.
  6. After programming the bitstream, drag the sonata_simple_demo_v0.4.slot1.uf2 into the SONATA drive.
  7. You should now see the user LEDs turn on and off, as well as the lowRISC logo appear on the LCD.
  8. You can also drag snake_demo_v0.4.slot1.uf2 into the SONATA drive to play snake using the joystick. Watch the CHERI error LEDs as you hit the boundary.

Sonata system v0.4

30 Aug 16:09
Compare
Choose a tag to compare

There is an icache related bug in v0.4 it is recommended you use v0.4.1 instead.

This release contains on top of v0.3:

  • Execution from HyperRAM including instruction cache support and bootloader support
  • Bumped version of CHERIoT Ibex
  • Support for XADC, which is the FPGA's analogue to digital converter
  • Improvements to CI and automated testing

This is the release that we will use during the CHES hackathon 2024. It is recommended you use the v0.4 branch of sonata-software repository with this release. That branch will be maintained to remain compatible with this release.

Here's the flow for using these files:

  1. Make sure the bitstream select switch (immediately below the main USB-C port) is set to position 1.
  2. Before plugging in your Sonata board, hold down the SW9 labelled "RP2040 Boot", and while holding this button plug your board into your laptop using the Main USB.
  3. A drive called RPI-RP2 should pop up on your computer and copy rpi_rp2_v0.4.uf2 into it.
  4. This drive should automatically dismount once the file is transferred and remount as SONATA. Once the remount has happened, you can drag in the wrapped bitstream sonata_bitstream_v0.4.bit.slot1.uf2.
  5. Once programming is successful, you should see the CHERI LED light up and the LEGACY LED turn off. If this is not the case, the bitstream loading may have failed and you should retry by unplugging and replugging the main USB on the Sonata board and re-drag the bitstream into the SONATA drive.
  6. After programming the bitstream, drag the sonata_simple_demo_v0.4.slot1.uf2 into the SONATA drive.
  7. You should now see the user LEDs turn on and off, as well as the lowRISC logo appear on the LCD.
  8. You can also drag snake_demo_v0.4.slot1.uf2 into the SONATA drive to play snake using the joystick. Watch the CHERI error LEDs as you hit the boundary.

Sonata system v0.3

25 Aug 15:00
Compare
Choose a tag to compare

This release contains the following on top of v0.2:

  • Use Sonata JTAG ID code
  • Documentation updates
  • Implemented Elf bootloader
  • Fixes to the Nix environment
  • Enabling hardware revoker
  • New testing framework

The main reason for this pre-release is to enable usage of the new RP2040 firmware (v0.4) and provide a release compatible with the latest sonata-software. This firmware uses UF2 for both FPGA bitstreams and Sonata firmware providing more reliable operation. Firmware is now written as an elf file into the flash and the sonata-software repository does not maintain support for the old method used by the v0.2 release.

It is recommended you use the v0.3 branch of sonata-software repository with this release. That branch will be maintained to remain compatible with this release.

Here's the flow for using these files:

  1. Before plugging in your Sonata board, hold down the SW9 labelled "RP2040 Boot", and while holding this button plug your board into your laptop using the Main USB.
  2. A drive called RPI-RP2 should pop up on your computer and copy rpi_rp2_v0.4.uf2 into it.
  3. Make sure the bitstream select switch (immediately below the main USB-C port) is set to position 1.
  4. This drive should automatically dismount once the file is transferred and remount as SONATA. Once the remount has happened, you can drag in the wrapped bitstream sonata-v0.3.bit.slot1.uf2. You may have to unplug and replug the USB cable if the drive does not mount automatically.
  5. Once programming is successful, you should see the CHERI LED light up and the LEGACY LED turn off. If this is not the case, the bitstream loading may have failed and you should retry by unplugging and replugging the main USB on the Sonata board and re-drag the bitstream into the SONATA drive.
  6. After programming the bitstream, drag the sonata_simple_demo_v0.3.uf2 into the SONATA drive.
  7. You should now see the user LEDs turn on and off, as well as the lowRISC logo appear on the LCD.

Sonata system v0.2

28 May 19:42
Compare
Choose a tag to compare

Release notes

This is a release that contains all the infrastructure of v0.1 with the addition of:

  • SPI blocks for Raspberry Pi HAT, Arduino Shield and mikroBUS Click.
  • Control for the RGB LEDs.
  • Documentation updates.
  • Nix environment and CI updates.
  • Fixes to simulator.

For more information on the system please refer to the documentation. It has full support to run CHERIoT RTOS and we have a software template repository where you can develop your own application running on top of the RTOS.

Temporary flow

This is a temporary flow that should help you get your board to life (LCD powers up and LEDs blink). We've had reports that interacting with the SONATA drive can cause problems in the main persistent flow. This temporary flow avoids interacting with that drive and just uses the RPI_RP2 drive instead. After you have tested this flow please try the main persistent flow and if that does not work, you can come back to this as a backup.

Here are the steps you should take:

  1. Unplug your Sonata board.
  2. Hold down SW9, labelled "RP2040 Boot".
  3. While holding button re-plug your Sonata board.
  4. A drive named RPI-RP2 should show up, copy the file named tmp_rpi_rp2_part1_v0.2.uf2 into this drive.
  5. After the drive dismounts automatically, it will remount as SONATA, please ignore this drive and its contents while using this temporary flow.
  6. Unplug your Sonata board.
  7. Hold down SW9, labelled "RP2040 Boot".
  8. While holding button re-plug your Sonata board.
  9. A drive named RPI-RP2 should show up, copy the file named tmp_rpi_rp2_part2_v0.2.uf2 into this drive.

Main persistent flow

You can download the generated bitstream below named sonata_bitstream_v0.2.bit. This generated bitstream contains a bootloader that will load a software image from flash.

Besides the bitstream, you'll also need a UF2 to program the RP2040, which is named rpi_rp2_v0.2.uf2. Future releases may be found in the sonata-rp2040 repository.

You will also need a UF2 of the software that you want to execute. You can create your own using our template repository. For testing I've also attached an example to this release named sonata_simple_demo_v0.2.uf2 to this release.

A quick start guide:

  1. Before plugging in your Sonata board, hold down the SW9 labelled "RP2040 Boot", and while holding this button plug your board into your laptop using the Main USB.
  2. A drive called RPI-RP2 should pop up on your computer and drag rpi_rp2_v0.2.uf2 into it.
  3. This drive should automatically dismount once the file is transferred and remount as SONATA. Once the remount has happened, you can drag in the bitstream sonata_bistream_v0.2.bit. You may have to unplug and replug the USB cable if the drive does not mount automatically.
  4. Once programming is successful, you should see the CHERI LED light up and the LEGACY LED turn off. If this is not the case, the bitstream loading may have failed and you should retry by unplugging and replugging the main USB on the Sonata board and redrag the bitstream into the SONATA drive.
  5. After programming the bitstream, drag the sonata_simple_demo_v0.2.uf2 into the SONATA drive.
  6. You should now see the user LEDs turn on and off, as well as the lowRISC logo appear on the LCD.

Non-persistent flow

If all of the above fails you can circumvent the RP2040 altogether by using JTAG:

openFPGALoader -c ft4232 sonata_bitstream_v0.2.bit
./util/mem_helper.sh load_program -e sonata_simple_demo_v0.2.elf

Do note that this flow is not persistent so you will need to reprogram both the bitstream and the firmware after each power cycle.

Sonata system v0.1

23 May 10:23
Compare
Choose a tag to compare

This is a pre-release bitstream that contains the basic infrastructure for a CHERIoT SoC:

  • A GPIO for LED output and user input.
  • 256 KiB of SRAM for both data and instructions.
  • Revocation tags that cover all of SRAM.
  • A TL-UL bus extended with capability tags in the user field.
  • 2 UARTs.
  • 2 I2C hosts.
  • 3 SPI blocks: one for LCD, one for Flash and one for ethernet.
  • USB device for using the user USB.
  • Timer for generating interrupts.

You can download the generated bitstream below named sonata_bitstream_v0.1.bit. This generated bitstream contains a bootloader that will load a software image from flash.

Besides the bitstream, you'll also need a UF2 to program the RP2040, which is named rpi_rp2_v0.1.uf2. Future releases may be found in the sonata-rp2040 repository.

You will also need a UF2 of the software that you want to execute. You can create your own using our template repository. For testing I've also attached an example to this release named sonata_simple_demo_v0.1.uf2 to this release.

A quick start guide:

  • Before plugging in your Sonata board, hold down the SW9 labelled "RP2040 Boot", and while holding this button plug your board into your laptop using the Main USB.
  • A drive called RPI-RP2 should pop up on your computer and drag rpi_rp2_v0.1.uf2 into it.
  • This drive should automatically dismount once the file is transfered and remount as SONATA. Once the remount has happened, you can drag in the bitstream sonata_bistream_v0.1.bit. You may have to unplug and replug the USB cable if the drive does not mount automatically.
  • Once programming is successful, you should see the CHERI LED light up and the LEGACY LED turn off. If this is not the case, the bitstream loading may have failed and you should retry by unplugging and replugging the main USB on the Sonata board and redrag the bitstream into the SONATA drive.
  • After programming the bitstream, drag the sonata_simple_demo_v0.1.uf2 into the SONATA drive.
  • You should now see the user LEDs turn on and off.

For more information please refer to the documentation.