Skip to content

Commit

Permalink
Merge pull request #471 from ocaml-multicore/add-5.4.0+trunk
Browse files Browse the repository at this point in the history
Add 5.4.0+trunk workflows
  • Loading branch information
jmid committed Aug 28, 2024
2 parents 861268a + acc1c81 commit 98e5b82
Show file tree
Hide file tree
Showing 36 changed files with 320 additions and 67 deletions.
4 changes: 2 additions & 2 deletions .github/workflows/cygwin-520.yml
Original file line number Diff line number Diff line change
Expand Up @@ -2,8 +2,8 @@ name: Cygwin 5.2

on:
schedule:
# Every Monday morning, at 2:22 UTC
- cron: '22 2 * * 1'
# Every Monday morning, at 1:11 UTC
- cron: '11 1 * * 1'
pull_request:
push:
branches:
Expand Down
8 changes: 4 additions & 4 deletions .github/workflows/cygwin-530-trunk.yml
Original file line number Diff line number Diff line change
@@ -1,9 +1,9 @@
name: Cygwin trunk
name: Cygwin 5.3

on:
schedule:
# Every Monday morning, at 1:11 UTC
- cron: '11 1 * * 1'
# Every Monday morning, at 2:22 UTC
- cron: '22 2 * * 1'
pull_request:
push:
branches:
Expand All @@ -17,5 +17,5 @@ jobs:
runs_on: windows-latest
compiler: ocaml.5.3.0
cygwin: true
compiler_git_ref: refs/heads/trunk
compiler_git_ref: refs/heads/5.3
timeout: 240
21 changes: 21 additions & 0 deletions .github/workflows/cygwin-540-trunk.yml
Original file line number Diff line number Diff line change
@@ -0,0 +1,21 @@
name: Cygwin trunk

on:
schedule:
# Every Monday morning, at 3:33 UTC
- cron: '33 3 * * 1'
pull_request:
push:
branches:
- main
workflow_dispatch:

jobs:
build:
uses: ./.github/workflows/common.yml
with:
runs_on: windows-latest
compiler: ocaml.5.4.0
cygwin: true
compiler_git_ref: refs/heads/trunk
timeout: 240
4 changes: 2 additions & 2 deletions .github/workflows/linux-520-32bit.yml
Original file line number Diff line number Diff line change
Expand Up @@ -2,8 +2,8 @@ name: 32bit 5.2

on:
schedule:
# Every Monday morning, at 2:22 UTC
- cron: '22 2 * * 1'
# Every Monday morning, at 1:11 UTC
- cron: '11 1 * * 1'
pull_request:
push:
branches:
Expand Down
4 changes: 2 additions & 2 deletions .github/workflows/linux-520-bytecode.yml
Original file line number Diff line number Diff line change
Expand Up @@ -2,8 +2,8 @@ name: Bytecode 5.2

on:
schedule:
# Every Monday morning, at 2:22 UTC
- cron: '22 2 * * 1'
# Every Monday morning, at 1:11 UTC
- cron: '11 1 * * 1'
pull_request:
push:
branches:
Expand Down
4 changes: 2 additions & 2 deletions .github/workflows/linux-520-debug.yml
Original file line number Diff line number Diff line change
Expand Up @@ -2,8 +2,8 @@ name: Linux 5.2 debug

on:
schedule:
# Every Monday morning, at 2:22 UTC
- cron: '22 2 * * 1'
# Every Monday morning, at 1:11 UTC
- cron: '11 1 * * 1'
pull_request:
push:
branches:
Expand Down
4 changes: 2 additions & 2 deletions .github/workflows/linux-520-fp.yml
Original file line number Diff line number Diff line change
Expand Up @@ -2,8 +2,8 @@ name: FP 5.2

on:
schedule:
# Every Monday morning, at 2:22 UTC
- cron: '22 2 * * 1'
# Every Monday morning, at 1:11 UTC
- cron: '11 1 * * 1'
pull_request:
push:
branches:
Expand Down
4 changes: 2 additions & 2 deletions .github/workflows/linux-520.yml
Original file line number Diff line number Diff line change
Expand Up @@ -2,8 +2,8 @@ name: Linux 5.2

on:
schedule:
# Every Monday morning, at 2:22 UTC
- cron: '22 2 * * 1'
# Every Monday morning, at 1:11 UTC
- cron: '11 1 * * 1'
pull_request:
push:
branches:
Expand Down
8 changes: 4 additions & 4 deletions .github/workflows/linux-530-trunk-32bit.yml
Original file line number Diff line number Diff line change
@@ -1,9 +1,9 @@
name: 32bit trunk
name: 32bit 5.3

on:
schedule:
# Every Monday morning, at 1:11 UTC
- cron: '11 1 * * 1'
# Every Monday morning, at 2:22 UTC
- cron: '22 2 * * 1'
pull_request:
push:
branches:
Expand All @@ -15,5 +15,5 @@ jobs:
uses: ./.github/workflows/common.yml
with:
compiler: 'ocaml-variants.5.3.0+trunk,ocaml-option-32bit'
compiler_git_ref: refs/heads/trunk
compiler_git_ref: refs/heads/5.3
timeout: 240
8 changes: 4 additions & 4 deletions .github/workflows/linux-530-trunk-bytecode.yml
Original file line number Diff line number Diff line change
@@ -1,9 +1,9 @@
name: Bytecode trunk
name: Bytecode 5.3

on:
schedule:
# Every Monday morning, at 1:11 UTC
- cron: '11 1 * * 1'
# Every Monday morning, at 2:22 UTC
- cron: '22 2 * * 1'
pull_request:
push:
branches:
Expand All @@ -15,5 +15,5 @@ jobs:
uses: ./.github/workflows/common.yml
with:
compiler: 'ocaml-variants.5.3.0+trunk,ocaml-option-bytecode-only'
compiler_git_ref: refs/heads/trunk
compiler_git_ref: refs/heads/5.3
timeout: 240
8 changes: 4 additions & 4 deletions .github/workflows/linux-530-trunk-debug.yml
Original file line number Diff line number Diff line change
@@ -1,9 +1,9 @@
name: Linux trunk debug
name: Linux 5.3 debug

on:
schedule:
# Every Monday morning, at 1:11 UTC
- cron: '11 1 * * 1'
# Every Monday morning, at 2:22 UTC
- cron: '22 2 * * 1'
pull_request:
push:
branches:
Expand All @@ -15,7 +15,7 @@ jobs:
uses: ./.github/workflows/common.yml
with:
compiler: 'ocaml-variants.5.3.0+trunk'
compiler_git_ref: refs/heads/trunk
compiler_git_ref: refs/heads/5.3
dune_profile: 'debug-runtime'
runparam: 's=4096,v=0,V=1'
timeout: 240
8 changes: 4 additions & 4 deletions .github/workflows/linux-530-trunk-fp.yml
Original file line number Diff line number Diff line change
@@ -1,9 +1,9 @@
name: FP trunk
name: FP 5.3

on:
schedule:
# Every Monday morning, at 1:11 UTC
- cron: '11 1 * * 1'
# Every Monday morning, at 2:22 UTC
- cron: '22 2 * * 1'
pull_request:
push:
branches:
Expand All @@ -15,5 +15,5 @@ jobs:
uses: ./.github/workflows/common.yml
with:
compiler: 'ocaml-variants.5.3.0+trunk,ocaml-option-fp'
compiler_git_ref: refs/heads/trunk
compiler_git_ref: refs/heads/5.3
timeout: 240
8 changes: 4 additions & 4 deletions .github/workflows/linux-530-trunk.yml
Original file line number Diff line number Diff line change
@@ -1,9 +1,9 @@
name: Linux trunk
name: Linux 5.3

on:
schedule:
# Every Monday morning, at 1:11 UTC
- cron: '11 1 * * 1'
# Every Monday morning, at 2:22 UTC
- cron: '22 2 * * 1'
pull_request:
push:
branches:
Expand All @@ -15,4 +15,4 @@ jobs:
uses: ./.github/workflows/common.yml
with:
compiler: 'ocaml-variants.5.3.0+trunk'
compiler_git_ref: refs/heads/trunk
compiler_git_ref: refs/heads/5.3
19 changes: 19 additions & 0 deletions .github/workflows/linux-540-trunk-32bit.yml
Original file line number Diff line number Diff line change
@@ -0,0 +1,19 @@
name: 32bit trunk

on:
schedule:
# Every Monday morning, at 3:33 UTC
- cron: '33 3 * * 1'
pull_request:
push:
branches:
- main
workflow_dispatch:

jobs:
build:
uses: ./.github/workflows/common.yml
with:
compiler: 'ocaml-variants.5.4.0+trunk,ocaml-option-32bit'
compiler_git_ref: refs/heads/trunk
timeout: 240
19 changes: 19 additions & 0 deletions .github/workflows/linux-540-trunk-bytecode.yml
Original file line number Diff line number Diff line change
@@ -0,0 +1,19 @@
name: Bytecode trunk

on:
schedule:
# Every Monday morning, at 3:33 UTC
- cron: '33 3 * * 1'
pull_request:
push:
branches:
- main
workflow_dispatch:

jobs:
build:
uses: ./.github/workflows/common.yml
with:
compiler: 'ocaml-variants.5.4.0+trunk,ocaml-option-bytecode-only'
compiler_git_ref: refs/heads/trunk
timeout: 240
21 changes: 21 additions & 0 deletions .github/workflows/linux-540-trunk-debug.yml
Original file line number Diff line number Diff line change
@@ -0,0 +1,21 @@
name: Linux trunk debug

on:
schedule:
# Every Monday morning, at 3:33 UTC
- cron: '33 3 * * 1'
pull_request:
push:
branches:
- main
workflow_dispatch:

jobs:
build:
uses: ./.github/workflows/common.yml
with:
compiler: 'ocaml-variants.5.4.0+trunk'
compiler_git_ref: refs/heads/trunk
dune_profile: 'debug-runtime'
runparam: 's=4096,v=0,V=1'
timeout: 240
19 changes: 19 additions & 0 deletions .github/workflows/linux-540-trunk-fp.yml
Original file line number Diff line number Diff line change
@@ -0,0 +1,19 @@
name: FP trunk

on:
schedule:
# Every Monday morning, at 3:33 UTC
- cron: '33 3 * * 1'
pull_request:
push:
branches:
- main
workflow_dispatch:

jobs:
build:
uses: ./.github/workflows/common.yml
with:
compiler: 'ocaml-variants.5.4.0+trunk,ocaml-option-fp'
compiler_git_ref: refs/heads/trunk
timeout: 240
18 changes: 18 additions & 0 deletions .github/workflows/linux-540-trunk.yml
Original file line number Diff line number Diff line change
@@ -0,0 +1,18 @@
name: Linux trunk

on:
schedule:
# Every Monday morning, at 3:33 UTC
- cron: '33 3 * * 1'
pull_request:
push:
branches:
- main
workflow_dispatch:

jobs:
build:
uses: ./.github/workflows/common.yml
with:
compiler: 'ocaml-variants.5.4.0+trunk'
compiler_git_ref: refs/heads/trunk
4 changes: 2 additions & 2 deletions .github/workflows/macosx-arm64-520.yml
Original file line number Diff line number Diff line change
Expand Up @@ -2,8 +2,8 @@ name: macOS-ARM64 5.2

on:
schedule:
# Every Monday morning, at 2:22 UTC
- cron: '22 2 * * 1'
# Every Monday morning, at 1:11 UTC
- cron: '11 1 * * 1'
pull_request:
push:
branches:
Expand Down
8 changes: 4 additions & 4 deletions .github/workflows/macosx-arm64-530-trunk.yml
Original file line number Diff line number Diff line change
@@ -1,9 +1,9 @@
name: macOS-ARM64 trunk
name: macOS-ARM64 5.3

on:
schedule:
# Every Monday morning, at 1:11 UTC
- cron: '11 1 * * 1'
# Every Monday morning, at 2:22 UTC
- cron: '22 2 * * 1'
pull_request:
push:
branches:
Expand All @@ -15,5 +15,5 @@ jobs:
uses: ./.github/workflows/common.yml
with:
compiler: 'ocaml-variants.5.3.0+trunk'
compiler_git_ref: refs/heads/trunk
compiler_git_ref: refs/heads/5.3
runs_on: 'macos-14'
19 changes: 19 additions & 0 deletions .github/workflows/macosx-arm64-540-trunk.yml
Original file line number Diff line number Diff line change
@@ -0,0 +1,19 @@
name: macOS-ARM64 trunk

on:
schedule:
# Every Monday morning, at 3:33 UTC
- cron: '33 3 * * 1'
pull_request:
push:
branches:
- main
workflow_dispatch:

jobs:
build:
uses: ./.github/workflows/common.yml
with:
compiler: 'ocaml-variants.5.4.0+trunk'
compiler_git_ref: refs/heads/trunk
runs_on: 'macos-14'
4 changes: 2 additions & 2 deletions .github/workflows/macosx-intel-520.yml
Original file line number Diff line number Diff line change
Expand Up @@ -2,8 +2,8 @@ name: macOS-intel 5.2

on:
schedule:
# Every Monday morning, at 2:22 UTC
- cron: '22 2 * * 1'
# Every Monday morning, at 1:11 UTC
- cron: '11 1 * * 1'
pull_request:
push:
branches:
Expand Down
8 changes: 4 additions & 4 deletions .github/workflows/macosx-intel-530-trunk.yml
Original file line number Diff line number Diff line change
@@ -1,9 +1,9 @@
name: macOS-intel trunk
name: macOS-intel 5.3

on:
schedule:
# Every Monday morning, at 1:11 UTC
- cron: '11 1 * * 1'
# Every Monday morning, at 2:22 UTC
- cron: '22 2 * * 1'
pull_request:
push:
branches:
Expand All @@ -15,5 +15,5 @@ jobs:
uses: ./.github/workflows/common.yml
with:
compiler: 'ocaml-variants.5.3.0+trunk'
compiler_git_ref: refs/heads/trunk
compiler_git_ref: refs/heads/5.3
runs_on: 'macos-13'
Loading

0 comments on commit 98e5b82

Please sign in to comment.