Skip to content

Commit

Permalink
chore(workflows): bump cache to 2024.8.15
Browse files Browse the repository at this point in the history
Signed-off-by: Cameron Smith <[email protected]>
  • Loading branch information
cameronraysmith committed Aug 15, 2024
1 parent 55ac13a commit 4bf19e7
Showing 1 changed file with 12 additions and 7 deletions.
19 changes: 12 additions & 7 deletions src/pyrovelocity/workflows/main_workflow.py
Original file line number Diff line number Diff line change
Expand Up @@ -60,8 +60,13 @@

logger = configure_logging(__name__)

CACHE_VERSION = "2024.8.14"
SUMMARIZE_CACHE_VERSION = "2024.8.14.0"
CACHE_VERSION = "2024.8.15"
DOWNLOAD_CACHE_VERSION = f"{CACHE_VERSION}.0"
PREPROCESS_CACHE_VERSION = f"{CACHE_VERSION}.0"
TRAIN_CACHE_VERSION = f"{CACHE_VERSION}.0"
POSTPROCESS_CACHE_VERSION = f"{CACHE_VERSION}.0"
SUMMARIZE_CACHE_VERSION = f"{CACHE_VERSION}.0"
UPLOAD_CACHE_VERSION = f"{CACHE_VERSION}.0"
PYROVELOCITY_CACHE_FLAG = str_to_bool(
os.getenv("PYROVELOCITY_CACHE_FLAG", "True")
)
Expand All @@ -71,7 +76,7 @@

@task(
cache=PYROVELOCITY_CACHE_FLAG,
cache_version=CACHE_VERSION,
cache_version=DOWNLOAD_CACHE_VERSION,
retries=3,
interruptible=True,
timeout=timedelta(minutes=20),
Expand All @@ -89,7 +94,7 @@ def download_data(download_dataset_args: DownloadDatasetInterface) -> FlyteFile:

@task(
cache=PYROVELOCITY_CACHE_FLAG,
cache_version=CACHE_VERSION,
cache_version=PREPROCESS_CACHE_VERSION,
retries=3,
interruptible=False,
timeout=timedelta(minutes=60),
Expand Down Expand Up @@ -119,7 +124,7 @@ def preprocess_data(

@task(
cache=PYROVELOCITY_CACHE_FLAG,
cache_version=CACHE_VERSION,
cache_version=TRAIN_CACHE_VERSION,
retries=3,
interruptible=False,
timeout=timedelta(minutes=120),
Expand Down Expand Up @@ -181,7 +186,7 @@ def train_model(

@task(
cache=PYROVELOCITY_CACHE_FLAG,
cache_version=CACHE_VERSION,
cache_version=POSTPROCESS_CACHE_VERSION,
retries=3,
interruptible=False,
timeout=timedelta(minutes=120),
Expand Down Expand Up @@ -309,7 +314,7 @@ def summarize_data(

@task(
cache=PYROVELOCITY_CACHE_FLAG,
cache_version=SUMMARIZE_CACHE_VERSION,
cache_version=UPLOAD_CACHE_VERSION,
retries=3,
interruptible=True,
timeout=timedelta(minutes=20),
Expand Down

0 comments on commit 4bf19e7

Please sign in to comment.