Skip to content

Releases: pulp-platform/common_cells

v1.37.0

18 Jul 12:18
c27bce3
Compare
Choose a tag to compare

1.37.0 - 2024-07-18

Added

  • credit_counter: Add up/down counter for credit.

Fixed

  • mem_to_banks_detailed: Ensure no spurious response after full dead write.

v1.36.0

08 Jul 11:58
be3866e
Compare
Choose a tag to compare

1.36.0 - 2024-07-08

Fixed

  • registers: Fix else statement in FFARNC macro.
  • stream_arbiter_flushable: Do not lock priority arbiter.

v1.35.0

22 Apr 15:45
0d67563
Compare
Choose a tag to compare

1.35.0 - 2024-04-22

Changed

  • id_queue: Add parameter to cut a critical path.

v1.34.0

09 Apr 11:21
7773d97
Compare
Choose a tag to compare

1.34.0 - 2024-04-09

Changed

  • stream_xbar: Add payload assertion stability mask.

v1.33.1

16 Mar 13:29
ad22699
Compare
Choose a tag to compare

1.33.1 - 2024-03-16

Fixed

  • stream_omega_net: Fix assertion.
  • Revert gitlab-ci trigger condition to pull_request.

v1.33.0

07 Mar 09:58
13f28aa
Compare
Choose a tag to compare

1.33.0 - 2024-03-07

Added

  • Add passthrough_stream_fifo: Stream FIFO which does not cut the timing path, this allows it to do a simultaneous push and pop when full.
  • Registers: Add FFARNC macro: Flip-Flop with asynchronous active-low reset and synchronous clear.

Changed

  • Enable assertions in verilator.
  • Change pragma translate_off statements to ```ifndef SYNTHESIS`` according to IEEE 1364.1-2005 spec 6.3.2.
  • plru_tree: Add assertion that output is onehot.
  • Update CI trigger condition.

Fixed

  • onehot_to_bin: Fix width mismatch in assignment.
  • plru_tree: Improve tool compatibility.
  • stream_xbar: Fix masked assertion.

v1.32.0

26 Sep 09:42
2bd027c
Compare
Choose a tag to compare

1.32.0 - 2023-09-26

Added

  • Add stream_join_dynamic: stream_join with a dynamically configurable subset selection.
  • Add multiaddr_decode: Address map decoder using NAPOT regions and allowing for multiple address inputs.
  • Add addr_decode_dync: addr_decode with support for dynamic online configuration.

Changed

  • mem_to_banks: Change default value for NumBanks from 0 to 1 to avoid division by zero.

v1.31.1

09 Aug 12:24
53b0b58
Compare
Choose a tag to compare

1.31.1 - 2023-08-09

Fixed

  • mem_to_banks: Keep defaut values for localparams

v1.31.0

08 Aug 12:26
aed978e
Compare
Choose a tag to compare

1.31.0 - 2023-08-08

Added

  • Add mem_to_banks_detailed: mem_to_banks with detailed response signals

Fixed

  • unread: Add dummy signal assignment when targeting Vivado to avoid blackbox inference

v1.30.0

09 Jun 14:30
v1.30.0
0989ff7
Compare
Choose a tag to compare

1.30.0 - 2023-06-09

Added

  • Add lossy_valid_to_stream: A converter between valid-only protocols and ready-valid where the latest transaction overwrites the most recently queue one.
  • Add clk_int_div_static: A wrapper for clk_int_div for static clock division.

Changed

  • popcount: Refactor and support all input widths.
  • clk_int_div: Support clock output during reset.
  • stream_delay: Support larger counts.

Fixed

  • clk_int_div: Fix possible deadlock and avoid hold issues.