Skip to content

Commit

Permalink
fixup! coherence: Pack Valid/Dirty SRAM (#36)
Browse files Browse the repository at this point in the history
Signed-off-by: Nils Wistoff <[email protected]>
  • Loading branch information
niwis committed Feb 2, 2024
1 parent dc9f1ac commit 3891a19
Showing 1 changed file with 2 additions and 2 deletions.
4 changes: 2 additions & 2 deletions corev_apu/tb/ariane_tb.cpp
Original file line number Diff line number Diff line change
Expand Up @@ -333,10 +333,10 @@ int main(int argc, char **argv) {
// Preload memory.
#if (VERILATOR_VERSION_INTEGER >= 5000000)
// Verilator v5: Use rootp pointer and .data() accessor.
#define MEM top->rootp->ariane_testharness__DOT__i_sram__DOT__gen_cut__BRA__0__KET____DOT__i_tc_sram_wrapper__DOT__i_tc_sram__DOT__sram.m_storage
#define MEM top->rootp->ariane_testharness__DOT__i_sram__DOT__i_tc_sram__DOT__sram.m_storage
#else
// Verilator v4
#define MEM top->ariane_testharness__DOT__i_sram__DOT__gen_cut__BRA__0__KET____DOT__i_tc_sram_wrapper__DOT__i_tc_sram__DOT__sram
#define MEM top->ariane_testharness__DOT__i_sram__DOT__i_tc_sram__DOT__sram
#endif
long long addr;
long long len;
Expand Down

0 comments on commit 3891a19

Please sign in to comment.