Skip to content

A MyHDL project to create Control and Status Registers

Notifications You must be signed in to change notification settings

rviollette/ControlStatus

 
 

Folders and files

NameName
Last commit message
Last commit date

Latest commit

 

History

9 Commits
 
 
 
 

Repository files navigation

ControlStatus

A MyHDL project to create Control and Status Registers

Update June 6th, 2015:

MyHDL now supports constants in ConcatSignal().

The undocumented toVHDL.numeric\_ports feature has been replaced by the toVHDL.std\_logic\_ports and now the conversion to VHDL is consistently error-free.

About

A MyHDL project to create Control and Status Registers

Resources

Stars

Watchers

Forks

Releases

No releases published

Packages

No packages published

Languages

  • Python 100.0%