Skip to content

Commit

Permalink
remove scalatest
Browse files Browse the repository at this point in the history
  • Loading branch information
sequencer committed Jan 7, 2022
1 parent 8dabea2 commit 005d688
Show file tree
Hide file tree
Showing 27 changed files with 52 additions and 55 deletions.
3 changes: 0 additions & 3 deletions src/main/scala/dsptools/dspmath/Factorization.scala
Original file line number Diff line number Diff line change
Expand Up @@ -2,9 +2,6 @@

package dsptools.dspmath

import org.scalatest.flatspec.AnyFlatSpec
import org.scalatest.matchers.should.Matchers

case class RadPow(rad: Int, pow: Int) {
/** `r ^ p` */
def get: Int = BigInt(rad).pow(pow).toInt
Expand Down
4 changes: 2 additions & 2 deletions src/test/scala/dsptools/BaseNSpec.scala
Original file line number Diff line number Diff line change
Expand Up @@ -3,8 +3,8 @@
package dsptools
import chisel3._
import org.scalatest.freespec.AnyFreeSpec
import org.scalatest.matchers.should.Matchers
//scalastyle:off magic.number
Expand Down
4 changes: 2 additions & 2 deletions src/test/scala/dsptools/DspContextSpec.scala
Original file line number Diff line number Diff line change
Expand Up @@ -4,8 +4,8 @@ package dsptools

import chisel3._
import dsptools.numbers._
import org.scalatest.freespec.AnyFreeSpec
import org.scalatest.matchers.should.Matchers



import scala.collection.parallel.CollectionConverters.RangeIsParallelizable

Expand Down
4 changes: 2 additions & 2 deletions src/test/scala/dsptools/DspTesterSpec.scala
Original file line number Diff line number Diff line change
Expand Up @@ -3,8 +3,8 @@
package dsptools

import DspTesterUtilities._
import org.scalatest.flatspec.AnyFlatSpec
import org.scalatest.matchers.should.Matchers


import scala.math.{pow, abs}

class DspTesterSpec {
Expand Down
4 changes: 2 additions & 2 deletions src/test/scala/dsptools/LoggingSpec.scala
Original file line number Diff line number Diff line change
Expand Up @@ -4,8 +4,8 @@ package dsptools

import chisel3._
import logger.{LazyLogging, LogLevel, Logger}
import org.scalatest.freespec.AnyFreeSpec
import org.scalatest.matchers.should.Matchers



class DutWithLogging extends Module with LazyLogging {
val io = IO(new Bundle {})
Expand Down
4 changes: 2 additions & 2 deletions src/test/scala/dsptools/ShiftRegisterDelaySpec.scala
Original file line number Diff line number Diff line change
Expand Up @@ -5,8 +5,8 @@ package dsptools
import chisel3._
import chisel3.experimental.FixedPoint
import dsptools.numbers._
import org.scalatest.freespec.AnyFreeSpec
import org.scalatest.matchers.should.Matchers



import scala.collection.mutable

Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -9,8 +9,8 @@ import chisel3.experimental.FixedPoint
import breeze.math.Complex
import dsptools.{DspTester, DspTesterOptionsManager, DspTesterOptions}
import dsptools.numbers._
import org.scalatest.flatspec.AnyFlatSpec
import org.scalatest.matchers.should.Matchers


import chisel3.iotesters.TesterOptions

trait EasyPeekPoke {
Expand Down
4 changes: 2 additions & 2 deletions src/test/scala/dsptools/numbers/AbsSpec.scala
Original file line number Diff line number Diff line change
Expand Up @@ -5,8 +5,8 @@ package dsptools.numbers
import chisel3._
import chisel3.experimental._
import dsptools.{DspContext, DspTester, Grow, Wrap}
import org.scalatest.freespec.AnyFreeSpec
import org.scalatest.matchers.should.Matchers



class AbsSpec extends AnyFreeSpec with Matchers {
"absolute value should work for all types" - {
Expand Down
4 changes: 2 additions & 2 deletions src/test/scala/dsptools/numbers/BaseNSpec.scala
Original file line number Diff line number Diff line change
Expand Up @@ -3,8 +3,8 @@
package dsptools.numbers

import dsptools.numbers.representations.BaseN
import org.scalatest.flatspec.AnyFlatSpec
import org.scalatest.matchers.should.Matchers



class BaseNSpec extends AnyFlatSpec with Matchers {
behavior of "BaseN"
Expand Down
4 changes: 2 additions & 2 deletions src/test/scala/dsptools/numbers/FixedPointSpec.scala
Original file line number Diff line number Diff line change
Expand Up @@ -10,8 +10,8 @@ import chisel3.iotesters.ChiselPropSpec
import chisel3.testers.BasicTester
import dsptools.DspTester
import dsptools.numbers.implicits._
import org.scalatest.freespec.AnyFreeSpec
import org.scalatest.matchers.should.Matchers



class FixedRing1(val width: Int, val binaryPoint: Int) extends Module {
val io = IO(new Bundle {
Expand Down
Original file line number Diff line number Diff line change
Expand Up @@ -5,8 +5,8 @@ package dsptools.numbers
import chisel3._
import chisel3.experimental.FixedPoint
import dsptools.DspTester
import org.scalatest.freespec.AnyFreeSpec
import org.scalatest.matchers.should.Matchers



//scalastyle:off magic.number

Expand Down
4 changes: 2 additions & 2 deletions src/test/scala/dsptools/numbers/IntervalSpec.scala
Original file line number Diff line number Diff line change
Expand Up @@ -9,8 +9,8 @@ import chisel3.experimental._
import chisel3.internal.firrtl.IntervalRange
import dsptools.DspTester
import dsptools.numbers.implicits._
import org.scalatest.freespec.AnyFreeSpec
import org.scalatest.matchers.should.Matchers



class IntervalRing1(val width: Int, val binaryPoint: Int) extends Module {
val range = IntervalRange(width.W, binaryPoint.BP)
Expand Down
4 changes: 2 additions & 2 deletions src/test/scala/dsptools/numbers/LnSpec.scala
Original file line number Diff line number Diff line change
Expand Up @@ -7,8 +7,8 @@ import chisel3.util._
import chisel3.testers.BasicTester
import chisel3.iotesters.{ChiselFlatSpec, PeekPokeTester, TesterOptionsManager}
import dsptools.{DspTester, ReplOptionsManager}
import org.scalatest.freespec.AnyFreeSpec
import org.scalatest.matchers.should.Matchers



class LnModule extends Module {
val io = IO(new Bundle {
Expand Down
4 changes: 2 additions & 2 deletions src/test/scala/dsptools/numbers/NumbersSpec.scala
Original file line number Diff line number Diff line change
Expand Up @@ -6,8 +6,8 @@ import chisel3._
import dsptools._
import chisel3.experimental.FixedPoint
import dsptools.numbers.implicits._
import org.scalatest.freespec.AnyFreeSpec
import org.scalatest.matchers.should.Matchers



/**
* This will attempt to follow the dsptools.numbers.README.md file as close as possible.
Expand Down
4 changes: 2 additions & 2 deletions src/test/scala/dsptools/numbers/OverflowSpec.scala
Original file line number Diff line number Diff line change
Expand Up @@ -2,8 +2,8 @@

package dsptools.numbers

import org.scalatest.freespec.AnyFreeSpec
import org.scalatest.matchers.should.Matchers



class OverflowSpec extends AnyFreeSpec with Matchers {

Expand Down
4 changes: 2 additions & 2 deletions src/test/scala/dsptools/numbers/ParameterizedOpSpec.scala
Original file line number Diff line number Diff line change
Expand Up @@ -7,8 +7,8 @@ import chisel3._
import chisel3.experimental.FixedPoint
import chisel3.iotesters.TesterOptionsManager
import dsptools.DspTester
import org.scalatest.freespec.AnyFreeSpec
import org.scalatest.matchers.should.Matchers


import dsptools.numbers._
import dsptools._

Expand Down
4 changes: 2 additions & 2 deletions src/test/scala/dsptools/numbers/SaturateSpec.scala
Original file line number Diff line number Diff line change
Expand Up @@ -6,8 +6,8 @@ import chisel3._
import chisel3.experimental.FixedPoint
import chisel3.iotesters._
import dsptools.numbers.rounding.Saturate
import org.scalatest.flatspec.AnyFlatSpec
import org.scalatest.matchers.should.Matchers



class SaturateUIntMod(val add: Boolean) extends MultiIOModule {
val a = IO(Input(UInt(8.W)))
Expand Down
4 changes: 2 additions & 2 deletions src/test/scala/dsptools/numbers/TypeclassSpec.scala
Original file line number Diff line number Diff line change
Expand Up @@ -7,8 +7,8 @@ import chisel3.experimental.FixedPoint
import chisel3.iotesters._
import dsptools._
import dsptools.numbers._
import org.scalatest.freespec.AnyFreeSpec
import org.scalatest.matchers.should.Matchers



/*
* These tests mostly exist to ensure that expressions of the form
Expand Down
4 changes: 2 additions & 2 deletions src/test/scala/examples/CaseClassBundleSpec.scala
Original file line number Diff line number Diff line change
Expand Up @@ -4,8 +4,8 @@ package examples

import chisel3._
import chisel3.iotesters.PeekPokeTester
import org.scalatest.flatspec.AnyFlatSpec
import org.scalatest.matchers.should.Matchers



//scalastyle:off magic.number

Expand Down
4 changes: 2 additions & 2 deletions src/test/scala/examples/ComplexMultiplierSpec.scala
Original file line number Diff line number Diff line change
Expand Up @@ -8,8 +8,8 @@ import chisel3.iotesters.Backend
import chisel3.{Bundle, Module}
import dsptools.{DspContext, DspTester}
import dsptools.numbers._
import org.scalatest.flatspec.AnyFlatSpec
import org.scalatest.matchers.should.Matchers


import spire.algebra.Ring

//scalastyle:off magic.number
Expand Down
4 changes: 2 additions & 2 deletions src/test/scala/examples/ParameterizedAdderSpec.scala
Original file line number Diff line number Diff line change
Expand Up @@ -6,8 +6,8 @@ import chisel3._
import chisel3.experimental.FixedPoint
import dsptools.DspTester
import dsptools.numbers._
import org.scalatest.flatspec.AnyFlatSpec
import org.scalatest.matchers.should.Matchers



//scalastyle:off magic.number

Expand Down
4 changes: 2 additions & 2 deletions src/test/scala/examples/ParameterizedSaturatingAdder.scala
Original file line number Diff line number Diff line change
Expand Up @@ -5,8 +5,8 @@ package examples
import chisel3._
import dsptools.{DspContext, DspTester, Saturate}
import dsptools.numbers._
import org.scalatest.flatspec.AnyFlatSpec
import org.scalatest.matchers.should.Matchers



class ParameterizedSaturatingAdder[T <: Data:Integer](gen:() => T) extends Module {
val io = IO(new Bundle {
Expand Down
4 changes: 2 additions & 2 deletions src/test/scala/examples/RealAdderSpec.scala
Original file line number Diff line number Diff line change
Expand Up @@ -5,8 +5,8 @@ package examples
import chisel3._
import dsptools.{DspTester, ReplOptionsManager}
import dsptools.numbers.DspReal
import org.scalatest.flatspec.AnyFlatSpec
import org.scalatest.matchers.should.Matchers



import scala.math.BigDecimal

Expand Down
4 changes: 2 additions & 2 deletions src/test/scala/examples/SimpleAdderSpec.scala
Original file line number Diff line number Diff line change
Expand Up @@ -5,8 +5,8 @@ package examples
import chisel3._
import chisel3.experimental.FixedPoint
import dsptools.DspTester
import org.scalatest.flatspec.AnyFlatSpec
import org.scalatest.matchers.should.Matchers



class SimpleAdder extends Module {
val io = IO(new Bundle {
Expand Down
4 changes: 2 additions & 2 deletions src/test/scala/examples/SimpleDspModuleSpec.scala
Original file line number Diff line number Diff line change
Expand Up @@ -15,8 +15,8 @@ import dsptools.{DspTester, DspTesterOptionsManager, DspTesterOptions}
// Allows you to modify default Chisel tester behavior (note that DspTester is a special version of Chisel tester)
import iotesters.TesterOptions
// Scala unit testing style
import org.scalatest.flatspec.AnyFlatSpec
import org.scalatest.matchers.should.Matchers



// IO Bundle. Note that when you parameterize the bundle, you MUST override cloneType.
// This also creates x, y, z inputs/outputs (direction must be specified at some IO hierarchy level)
Expand Down
4 changes: 2 additions & 2 deletions src/test/scala/examples/StreamingAutocorrelatorSpec.scala
Original file line number Diff line number Diff line change
Expand Up @@ -7,8 +7,8 @@ package examples
import chisel3._
import chisel3.iotesters.PeekPokeTester
import dsptools.numbers.implicits._
import org.scalatest.flatspec.AnyFlatSpec
import org.scalatest.matchers.should.Matchers



import spire.algebra.{Ring, Field}

Expand Down
4 changes: 2 additions & 2 deletions src/test/scala/examples/TransposedStreamFIRSpec.scala
Original file line number Diff line number Diff line change
Expand Up @@ -8,8 +8,8 @@ import chisel3._
import chisel3.iotesters.{PeekPokeTester, TesterOptions}
import dsptools.numbers.implicits._
import dsptools.{DspContext, Grow}
import org.scalatest.flatspec.AnyFlatSpec
import org.scalatest.matchers.should.Matchers


import dsptools.examples.{ConstantTapTransposedStreamingFIR, TransposedStreamingFIR}
import spire.algebra.{Field, Ring}

Expand Down

0 comments on commit 005d688

Please sign in to comment.