Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

techmap: Support mapping to dynamic cell types #4327

Merged
merged 2 commits into from
May 3, 2024
Merged

techmap: Support mapping to dynamic cell types #4327

merged 2 commits into from
May 3, 2024

Conversation

povik
Copy link
Member

@povik povik commented Apr 10, 2024

Sample of use:

(* techmap_celltype="$lcu" *)
module _80_lcu_primitive(P, G, CI, CO);
	parameter WIDTH = 2;

	(* force_downto *)
	input wire [WIDTH-1:0] P;
	(* force_downto *)
	input wire [WIDTH-1:0] G;
	input wire CI;
	(* force_downto *)
	output wire [WIDTH-1:0] CO;

	(* techmap_chtype=$sformatf("LCU_%0d", WIDTH) *)
	_TECHMAP_PLACEHOLDER_ #(.WIDTH(WIDTH)) _TECHMAP_REPLACE_(.P(P), .G(G), .CI(CI), .CO(CO));
endmodule

to map $lcu cells to cells LCU_x where x is the width.

@povik povik changed the title techmap: Support dynamic cell types techmap: Support mapping to dynamic cell types Apr 10, 2024
@widlarizer
Copy link
Collaborator

I assume you forgot to add this commit into the PR, it was only on the or-patches branch

@povik
Copy link
Member Author

povik commented May 3, 2024

Yes, thanks

@povik povik merged commit 6ff4ecb into main May 3, 2024
34 checks passed
@mmicko mmicko deleted the techmap-chtype branch May 9, 2024 07:53
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

Successfully merging this pull request may close these issues.

2 participants